Điều khiển robot từ xa qua sóng RF và sử dụng cảm biến siêu âm tránh vật cản

29 131 0
Điều khiển robot từ xa qua sóng RF và sử dụng cảm biến siêu âm tránh vật cản

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án thiết kế hệ thống số BÁO CÁO Đề bài: Điều khiển robot từ xa qua sóng RF sử dụng cảm biến siêu âm tránh vật cản Đồ án thiết kế hệ thống số PHỤ LỤC CHƯƠNG I: TỔNG QUAN VỀ ĐỀ TÀI 1.1 Giới thiệu chung đề tài Cùng với phát triển ngành kỹ thuật điện tử, công nghệ thông tin, ngành Kỹ thuật điều khiển, tự động hóa đạt nhiều tiến Tự động hóa, điều khiển làm giảm nhẹ sức lao động người mà góp phần lớn việc nâng cao suất lao động, cải thiện chất lượng sản phẩm tự động hóa ngày khẳng định vị trí vai trò ngành công nghiệp phổ biến rộng rãi hệ thống cơng nghiệp nói chung việt nam nói riêng Khơng dừng lại đó, phát triển tự động hóa đem lại nhiều tiện ích phục vụ đời sống hàng ngày cho người Để phục vụ tốt đời sống người thời điểm xã hội ngày đại phát triển Thay dần người làm việc nơi nguy hiểm, độc hại nơi mà người tới Vấn đề đặt trước tiên xây dựng hệ thống tự động hóa, điều khiển khơng nên hay không nên, mà lựa chọn hệ thống điều khiển, mạng truyền thông để điều khiển giám sát cho phù hợp với yêu cầu nhiệm vụ thực tế Đồ án thiết kế hệ thống số Do em chọn đề tài “Điều khiển tơ có chức thẳng, rẽ trái, phải, truyền nhận liệu sóng RF, sử dụng kit CPLD” Khả ứng dụng đề tài không dừng lại việc điều khiển một oto từ xa mà tương lai điều khiển thiết bị chuyển động từ xa: Robot tự hành, robot nạo vét cống rãnh, robot tham hiểm, dò phá bom mìn, điều khiển giám sát đèn tín hiệu giao thông từ xa điều khiển thiết bị nơi mà người trực tiếp tới được, thiết bị chữa cháy từ xa, điều khiển thiết bị mơi trường hóa chất độc hại, thiết bị đóng cắt từ xa trạm biến áp nhà máy điện 1.2 Các vấn đề đặt Đề tài “Điều khiển tơ có chức thẳng, rẽ trái, phải, truyền nhận liệu sóng RF, sử dụng kit CPLD” đề tài khó, đòi hỏi kiến thức tổng hợp nhiều lĩnh vực như: khí thiết kế, xử lý tín hiệu số, mạch điện tử, điều khiển, truyền thơng khơng dây, lập trình điều khiển Các vấn đề đề tài: - Tìm hiểu mạch ngun lý, kit CPLD Tính tồn thiết kế chế tạo mơ hình khí Tính tốn thiết kế mạch điều khiển Đánh giá chất lượng mơ hình Đồ án thiết kế hệ thống số CHƯƠNG II: SƠ ĐỒ KHỐI 2.1 Mơ hình điều khiển Khối RF, tay cầm Khối nguồn Động Kit CPLD, khối RF Khối đo khoảng Mạch cầu H Đồ án thiết kế hệ thống số 2.2 Tìm hiểu kit CPLD Hình 2.1: Kit CoolRunner II 2.2.1 Hãng Xilinx Xilinx nhà phát triển hàng đầu lĩnh vực chip khả trình, thành lập Ross Freeman, Bernie Vonderschmitt Jim Barnett Xilinx nhà phát triển FPGA, CPLD sử dụng rộng rãi ứng s truyền thong, tự động hóa, mật mã lĩnh vực khác Các sản phẩm phần cứng Xilin gồm dòng CPLD: coolrunner, họ FPGA Spartans, Virtex … Xilinx cung cấp phần mềm hỗ trợ lập trình FPGA, CPLD ISE, EDK, LogicCore, System Generator Các công cụ hỗ trợ nhiều cho trình lập trình CPLD, FPGA giúp giảm thời gian công sức thiết kế Các phiên phần mềm nâng cấp thường xuyên 2.2.2 Ngôn ngữ mô tả phần cứng VHDL VHDL ngơ ngữ mơ tả phần cứng cho mạch tích hợp tốc độ cao, loại ngôn ngữ mô tả phần cứng phát triển dùng cho chương trình VHSIC( Very High Speed Intergrated Circuit) quốc phòng Mỹ Đồ án thiết kế hệ thống số Mục tiêu việc phất triển VHDL có ngôn ngữ mô tả phần cứng tiêu chuẩn thông cho phép thử nghiệm hệ thống nhanh cho phép dễ dàng đưa hệ thống vào ứng dụng thực tế Ngơn ngữ VHDL ba công ty Intermetics, IBM Texas Instrucmets bắt đầu nghiên cứu phát triển vào tháng năm 1983 Phiên công bố vào tháng năm 1985 Sau VHDL đề xuất để tổ chức IEEE xem xét thành tiêu chuẩn chung Năm 1987 đưa tiêu chuẩn VHDL( tiêu chuẩn IEEE-1076 1987) VHDL phát triển để giả khó khan việc phát triển, thay đổi lập tài liệ cho hệ thông số.VHDL ngôn ngữ độc lập không gắn với phương pháp thiết kế, mô tả hay công nghệ phần cứng Người thiết kế tự lựa chọn công nghệ, phương pháp thiết kế sử dụng ngôn ngữ Và đem so sánh với ngô ngữ mô tả phần cứng khác ta thấy VHDL có số ưu điểm hẳn là: - Thứ tính cơng cộng: VHDL phát triển bảo trợ phủ Mỹ tiêu chuẩn IEEE VHDL hỗ trợ nhiều nhà sản xuất thiết bị nhiều nhà cung cấp công cụ thiết kế mô hệ thông - Thứ hai khả hỗ trợ nhiều công nghệ nhiều phương pháp thiết kế: VHDL cho phép thiết kế nhiền phương pháp ví dụ phương pháp thiết kế từ xuống, hay từ lên dựa vào thư viện có sẵn VHDL hỗ trợ cho nhiều loại công cụ xây dựng mạch sử dụng công nghệ đồng hay không đồng bộ, sử dụng ma trận lập trình hay sử dụng mảng ngẫu nhiên - Thứ ba tính độc lập với cơng nghệ: VHDL hồn tồn độc lập với cơng nghệ chế tạo phần cứng Một mô tả hệ thống dùng VHDL thiết kế mức cổng chuyển thành tổng Đồ án thiết kế hệ thống số hợp mạch khác tùy thuộc công nghệ chế tạo phần cứng đời áp dụng cho hệ thống thiết kế - Thứ tư khả mô tả mở rộng: VHDL cho phép mô tả hoạt động phần cứng từ mức hệ thống số mức cổng VHDL có khả mơ tả hoạt động hệ thống nhiều mức sử dụng cú pháp chặt chẽ thống cho mức Như ta mơ thiết kế bao gồm hệ mô tả chi tiết - Thứ năm khả trao đổi kết quả: Vì VHDL tiêu chuẩn chấp nhận, nên mơ hình VHDL chạy mơ tả đáp ứng tiêu chuẩn VHDL Các kết mơ tả hệ thơng trao đổi nhà thiết kế sử dụng công nghệ thiết kế khác tuân theo tiêu chuẩn VHDL - Thứ sáu khả hỡ trợ thiết kế mức lớn khả sử dụng lại thiết kế: VHDL phát triển ngơn ngữ lập trình bậc cao, thể sử dụng để thiết kế hệ thống lớn với tham gia nhóm nhiều người Bên ngơn ngữ VHDL có nhiều tính hỗ trợ việc lý, thử nghiệm chia sẻ thiết kế Và cho phép dùng lại phần có sẵn 2.2.3 Kit CoolRunner II XC2C256 TQ-144 CoolRunner II thiết bị thết kế cho việc hoạt động với hiệu suất cao sử dụng lượng thấp Điều cho phép tiết kiệm lượng truyền thông tốc độ cao với thiết bị hoạt động pin Do sử dụng điện thấp động nên độ tin cậy hệ thống cải thiện Thiết bị bao gồm 16 khối chức liên kết với thông qua ma trận điện kết nối nâng cao Ma trận điện kết nối nguồn cung cấp liệu 40 đầu vào bổ sung cho khối chức Mỗi khối chức bao gồm 40 đầu vào 16 macrocells chứa bit cấu hình cho phép tổ hợp thiết Đồ án thiết kế hệ thống số lập phương thức hoạt động Ngoài thiết lập cài đặt trước cấu flip flop D T CoolRunner II phát triển hoàn thành tảng USB-powered Bảng mạch bao gồm hiệu lượng cao, tiết kiệm lượng, khả lập trình hỗ trợ số thiết bị vào chung Ngồi có kết nối mở rộng cho phép kết nối với 64 CPLD sẵn có bên ngồi Tính bao gồm: - CoolRunner II TQ-144 bao gồm 256 macrocells Một cổng USB2 để lập trình JTAG truyền liệu Tần số cố định 8MHz ổ cắm cho tần số ngoại Mở rộng kết nối với 64 tín hiệu vào Một dây DS28E01Q EEPROM CoolRunner II phải nạp cấu hình (hay chương trình) người dùng trước thực chức Tập tin tao từ sơ đồ tập tin từ mã nguồn HDL cách sử dụng ISE miễn phí Webpack phần mền từ Xilinx Các file chương trình chuyển cho bảng mạch cách sử dụng cáp USB phần mền Xilinx Sau nạp chương trình, CPLD giữ lại trạng thái vơ thời hạn Nguồn cung cấp cho CoolRunner II: CoolRunner II cấp nguồn từ cổng USB tách rời từ nguồn cung cấp bên gắn vào kết nối JP3, JP2 chọn xem bảng mạch sử dụng nguồn USB nguồn điện bên Nguồi điện bên ngoài, từ nguồn định tuyến thông qua điều chế LT3028 để tạo nguồn cung cấp điện áp 3.3V cho I/O 1.8V cho lõi theo yêu cầu CPLD CoolRunner II cung cấp nút bấm thiết bị chuyển mạch trượt đầu vào, đèn led xanh led đoạn hiển thị kết đầu Các nút bấm hoạt động thấp, đèn led hoạt động cao led đoạn sử dụng tách riêng chung anode Đồ án thiết kế hệ thống số Hình 2.2: Sử dụng I/O CoolRunner II cung cấp mô đun mô đun gồm 12- pin kết nối thiết bị ngoại vi Mỗi kết nối cung cấp VDD GND Ngoài cung cấp kết nối mở rộng 40-pin bao gồm nguồn tín hiệu 37 chân I/O cho tín hiệu 2.3 Khối RF 2.3.1 Tổng quan sóng RF Trong phiên truyền thơng, tận chất liệu bao gồm bit 1, bên phát liệu cần có cách thức để gửi cá bit để gửi co bên nhận Một tín hiệu xoay chiều hay chiều tự khơng thực tác vụ Tuy nhiên tín hiệu có thay đổi có dao động, dù ít, thay đổi giúp phân biệt bit bit Lúc đó, liệu cần truyền gửi nhận liệu thành công dựa vào thay đổi tín hiệu Dạng tín hiệu điều chế gọi sóng mang Có ba thành phần dạng sóng tạo sóng mang, biên độ, tần số, pha Tất dạng truyền thơng dùng sóng vơ tuyến dùng vài dạng điều chế để truyền liệu Để mã hóa liệu vào tín hiệu qua sóng AM/FM, điện thoại di động, truyền hình vệ tinh, ta phải thực vài kiểu điều chế sóng vơ tuyến truyền Đồ án thiết kế hệ thống số a Biên độ bước sóng Truyền thơng vơ tuyến bắt đầu sóng vơ tuyến tạo từ máy phát gửi đến máy nhận vị trí khác Sóng vơ tuyến tương tự sóng mà bạn hay gặp biển, hồ, sơng, suối Sóng có hai thành phần chính: biên độ bước sóng Biên độ chiều cao, độ mạnh cơng suất sóng Bước sóng khoảng cách hai điểm tương tự hai đỉnh sóng liên tiếp Biên độ tần số hai thuộc tính sóng b Pha Pha thuật ngữ mang tính tương đối Nó mối quan hệ hai sóng có tần số Để xác định pha, bước sóng chia thành 360 phần, gọi độ c Mã hóa bit Mã hóa bit trình chuyển đổi dãy bit (1- 0) sang tín hiệu thích hợp để truyền dẫn mơi trường vật lý Việc chuyển đổi sử dụng tham số thơng tin thích hợp để mã hóa dãy bit cần truyền tải Các tham số thơng tin chứa đựng biên độ, tần số, pha sườn xung, v.v Sự thích hợp phải đánh giá dựa theo yêu cầu kỹ thuật khả chống nhiểu gây nhiểu, khả đồng hóa triệt tiêu dòng chiều Việc tạo mã để có tín hiệu hệ thống số thực cách đơn giản gán giá trị điện cho trạng thái logic giá trị khác cho mức logic lại Tuy nhiên để sử dụng mã cách có hiệu quả, việc tạo mã phải dựa vào số tính chất sau.(Phổ tần tín hiệu, đồng bộ, khả dò sai, tính miễn nhiễu giao thoa, mức độ phức tạp giá thành hệ thống) Dưới giới thiệu số dạng mã thông dụng sử dụng cho mục đích khác tùy vào yêu cầu cụ thể tính chất nói hình 2.2 Đồ án thiết kế hệ thống số - Chân 12, 13: chân XTAL2 XTAL1 dùng để đưa xung nhịp từ bên - vào chip Chân 14 – 21: Cổng nhập xuất liệu song song D (PORTD) - sử dụng chức đặc biệt thay nhập xuất liệu Chân 22 – 29: Cổng nhập xuất liệu song song C (PORTC) - sử dụng chức đặc biệt thay nhập xuất liệu Chân 30: AVCC cấp điện áp so sánh cho ADC Chân 32: AREF điện áp so sánh tín hiệu vào ADC Chân 33 – 40: Cổng nhập xuất liệu song song A (PORTA) sử dụng chức đặc biệt thay nhập xuất liệu c Truyền thông nối tiếp không đồng USART Vi điều kiển Atmega16L có module truyền thơng nối tiếp USART Với chế độ truyền nối tiếp không đồng bộ, sử dụng chân Atmega16L để phục vụ cho truyền nhận liệu: chân truyền liệu TxD ( Transmitted Data) chân nhận liệu RxD ( Reveived Data) Hình 2.6: Hai chân TxD RxD Module USART chip Atmega16L hoạt động theo chế độ q trình truyền nhận liệu xảy đồng thời Khi sử dụng USART để giao tiếp với máy tính, phải thiết lập thơng số hoạt động vi xử lý máy tính giống nhau, bao gồm: Đồ án thiết kế hệ thống số - Baud rate: Baud rate cao, tốc độ truyền nhanh, nhiên xác suất xảy lỗi trình truyền /nhận lớn Thường chọn - Baud rate = 9600 với ứng dụng không cần tốc độ cao Frame: khung truyền bao gồm start bit, data, parity bit stop bit, start bit cố định không thay đổi USART Atmega16L có tính ngắt Ngắt USART xảy hoàn tất gửi xong liệu, nhận xong liệu 2.3 Khối đo khoảng cách Trong khối đo khoảng cách chúng em sử dụng cảm biến siêu âm SRF05 Cảm biến SRF05 loại cảm biến khoảng cách dựa nguyên lý thu phát siêu âm Cảm biến gồm phát thu sóng siêu âm Sóng siêu âm từ đầu phát truyền khơng khí, gặp vật cản (vật cần đo khoảng cách tới) phản xạ ngược trở lại đầu thu ghi lại Vận tốc truyền âm khơng khí giá trị xác định trước, thay đổi Do xác định khoảng thời gian từ lúc phát sóng siêu âm tới lúc phản xạ đầu thu quy đổi khoảng cách từ cảm biến tới vật thể SRF05 bước phát triển từ SRF04, thiết kế để làm tăng tính linh hoạt, tăng pham vi, ngồi giảm bớt chi phí SRF05 hồn tồn tương thích với SRF04 Khoảng cách tăng từ mét đến mét Một chế độ hoạt động mới, SRF05 cho phép sử dụng chân cho kích hoạt phản hồi, tiết kiệm có giá trị chân điều khiển bạn Khi chân chế độ không kết nối, SRF05 hoạt động riêng biệt chân kích hoạt và chân hồi tiếp, SRF04 SRF05 bao gồm thời gian trễ trước xung phản hồi để mang lại điều khiển chậm chẳng hạn điều khiển thời gian Stamps Picaxe để thực xung lệnh Sơ đồ chân cảm biến: Đồ án thiết kế hệ thống số Hình 2.7: Sơ đồ chân cảm biến Các chế độ làm việc : Chế độ 1: Tương ứng SRF05 – tách biệt kích hoạt phản hồi Chế độ náy sử dụng riêng biệt chân kích hoạt chân phản hồi, chế độ đơn giản để sử dụng Tất chương trình điển hình cho SRF04 làm việc cho SRF05 chế độ Để sử dụng chế độ này, cần chân chế độ khơng kết nối – SRF05 có nội dừng chân Từ ta nhận thấy: Để cho sf05 hoạt động cần cấp xung mức cao có độ rộng >=10us chân Trig Sau nhận xung từ chân Tri srf05 tạo xung để phát siêu âm, sau hoàn thành việc phát xung srf05 kéo chân echo lên mức 1, độ rộng mức chân echo tương ứng với khoản cách vật cản với srf05, ko có vật cản trả mức sau 30ms( nhiều bạn hiểu sai có vật cản srf05 trả xung mức cao có độ rộng từ 100uS->30ms tương ứng với khoảng cách) Đặc biệt srf05 nhận xung chân Tri tối đa 20Hz, việc kích xung chân Tri phải phù hợp srf05 hoạt động xác Đồ án thiết kế hệ thống số Hình 2.8: Chế độ hoạt động Chế độ 2: Dùng chân cho kick hoạt phản hồi Chế độ sử dụng chân cho tín hiệu kích hoạt hồi tiếp, thiết kế để lưu giá trị chân lên điều khiển nhúng Để sử dụng chế độ này, chân chế độ kết nối vào chân mát Tín hiệu hồi tiếp xuất chân với tín hiệu kích hoạt SRF05 khơng tăng dòng phản hồi 700uS sau kết thúc tín hiệu kích hoạt Bạn có thời gian để kích hoạt pin xoay quanh làm cho trở thành đầu vào để có pulse đo mã bạn sẵn sàng Lệnh PULSIN tìm dùng phổ biến để điều khiển tự động Đồ án thiết kế hệ thống số Hình 2.9: Chế độ hoạt động Để sử dụng chế độ với Stamps BS2 bản, bạn cần sử dụng PULSOUT PULSIN chân: SRF05 PIN 15 sử dụng cho hai kick hoạt Echo Range VAR Word xác định phạm vi biến 16 bit SRF05 =0 bắt đầu pin thấp PULSOUT SRF05 đưa kick hoat pulse 10us PULSIN SRF0, 1, range Echo đo thời gian Range = range/29 để chuyển đổi sang cm Tính khoảng cách : Giản đồ định thời SRF05 thể cho chế độ Bạn cần cung cấp đoạn xung ngắn 10uS kích hoạt đầu vào để bắt đầu đo khoảng cách Đồ án thiết kế hệ thống số Các SRF05 gửi cho chu kỳ burst siêu âm 40khz tăng cao dòng phản hồi (hoặc kích hoạt chế độ dòng 2) Sau chờ phản hồi, sau phát giảm dòng phản hồi lại Dòng phản hồi xung có chiều rộng tỷ lệ với khoảng cách đến đối tượng Bằng cách đo xung, ta hồn tồn để tính tốn khoảng cách theo inch/centimét điều khác Nếu khơng phát SRF05 giảm thấp dòng phản hồi sau khoảng 30ms SRF05 cung cấp xung phản hồi tỷ lệ với khoang cách Nếu độ rộng pulse đo hệ uS, sau chia cho 58 cho khoảng cách theo cm, chia cho 148 cho khoảng cách theo inch Us/58= cm hay us/148= inch SRF05 kích hoạt nhanh chóng với 50mS, 20 lần giây Bạn nên chờ 50ms trước kích hoạt kế tiếp, SRF05 phát đối tượng gần xung phản hồi ngắn Điều để đảm bảo siêu âm “beep” phai mờ không gây sai phản hồi lần đo 2.5 Mạch cầu H Trong mạch chúng em sử dụng IC cầu H L293D L293D IC cầu H điều khiển động L293D gồm kênh điều khiển điều khiển động DC động bước pha (5 dây) Để điều khiển động DC, bạn sử dụng kênh L293D cho động Đồ án thiết kế hệ thống số L293D tích hợp sẵn ốt bảo vệ vi điều khiển chống lại dòng cảm ứng động khởi động tắt Vì vậy, bạn cần gắn motor vào L293D chân vi điều khiển tương ứng, làm cho động chạy Dòng L293 có loại: L293B/E L293D, dòng L293B có khả chịu tải cao (1A so với 600mA L293D) khơng có ốt bảo vệ vi điều khiển Với motor, bạn cần chân từ vi điều khiển kết nối với L293D, có chân điều khiển tốc độ đông dùng xung PWM, chân lại logic dùng điều chỉnh chiều quay motor Tín hiệu điều khiển xử lý độc lập với với đầu Ví dụ: bạn điều khiển động DC chạy với tốc độ khác nhau, hướng khác nhau, động dừng động chạy Mỗi kênh L293D chịu tải 600mA dòng đỉnh 1A Để sử dụng động công suất cao hơn, bạn việc gắn song song nhiều L293 lại với Với IC L293, bạn có tải chịu 1.2A tải đỉnh 2A Ngồi ra, L293D có chức tự động ngắt bị nóng mức nhằm bảo vệ IC Lưu ý: tuyệt đối không làm chập mạch ngõ motor L293D, không bạn làm cháy bên cầu H Hình 2.10: Sơ đồ chân cấu tạo IC L293D Đồ án thiết kế hệ thống số Hình 2.11: Sơ đồ L293D nối với motor Hình 2.12: Mạch nguyên lý cầu H Đồ án thiết kế hệ thống số 2.6 Mạch nguồn Khối mạch nguồn có nhiệm vụ cấp nguồn cho tồn mạch động Do khối nguồn phải có cơng suất đủ lớn độ ổn định cao Trong mạch chúng em sử dụng mạch nguồn 5V để cung cấp cho vi điều khiển mạch nguồn 3.3V để cấp cho kit CoolRunner II Đối với mạch nguồn 5V chúng em sử dụng IC ổn áp 7805 Hình 2.13: Mạch nguồn 5V Mạch nguồn 3.3V sử dụng diode zener 3.3V Hình 2.14: Mạch nguồn 3.3V Đồ án thiết kế hệ thống số 2.7 Động Trong mạch chúng em sử dụng động DC Hình 2.15: Động DC 2.7.1 Cấu tạo Cấu tạo động DC gồm thành phần phần tĩnh (Stato) phần động ( Roto) Stato động DC gồm nam châm vĩnh cử Roto : Phần ứng bao gồm lõi hình trụ làm thép kỹ thuật điện gắn lên trục Bề mặt ngồi lõi có rãnh để quấn dây, đầu dây phần ứng hàn vào cổ góp 2.7.2 Nguyên lý hoạt động Đồ án thiết kế hệ thống số Hình a Khi có dòng điện chiều qua motor khiến motor quay theo chiều hình a Hình b Khi ta đảo hai cự dòng điện động đảo chiều hình b Động DC cho dòng điện chiều chạy qua làm quay Roto dẫn đến motor quay, ta đổi chiều dòng điện motor quay theo chiều ngược lại Do điều chỉnh hướng quay motor 2.8 Code điều khiển library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Uncomment the following library declaration if using arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; Uncomment the following library declaration if instantiating any Xilinx primitives in this code library UNISIM; use UNISIM.VComponents.all; Đồ án thiết kế hệ thống số entity motor is Port ( up : in STD_LOGIC; down : in STD_LOGIC; left : in STD_LOGIC; right : in STD_LOGIC; find: in STD_LOGIC; clk : in STD_LOGIC; DC1 : out STD_LOGIC; DC11 : out STD_LOGIC; DC2 : out STD_LOGIC; DC22 : out STD_LOGIC); end motor; architecture Behavioral of motor is signal cnt : integer; begin process(up, down, left, right, clk) begin if(up='1') then DC1

Ngày đăng: 04/05/2019, 20:36

Từ khóa liên quan

Mục lục

  • CHƯƠNG I: TỔNG QUAN VỀ ĐỀ TÀI

    • 1.1. Giới thiệu chung về đề tài

    • 1.2. Các vấn đề đặt ra

    • CHƯƠNG II: SƠ ĐỒ KHỐI

      • 2.1. Mô hình điều khiển

      • 2.2. Tìm hiểu kit CPLD

        • 2.2.1. Hãng Xilinx

        • 2.2.2. Ngôn ngữ mô tả phần cứng VHDL

        • 2.2.3. Kit CoolRunner II XC2C256 TQ-144

        • 2.3. Khối RF

          • 2.3.1. Tổng quan về sóng RF

          • 2.3.2. Module thu phát RF

          • 2.3. Khối đo khoảng cách

          • 2.5. Mạch cầu H

          • 2.6. Mạch nguồn

          • 2.7. Động cơ

            • 2.7.1. Cấu tạo

            • 2.7.2. Nguyên lý hoạt động

            • 2.8. Code điều khiển

            • CHƯƠNG III: KẾT LUẬN VÀ NHẬN XÉT

            • TÀI LIỆU THAM KHẢO

Tài liệu cùng người dùng

Tài liệu liên quan