Giao trinh ky thuat so 2 PGS TS nguyen gia hieu

65 132 0
Giao trinh ky thuat so 2   PGS TS nguyen gia hieu

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Nội dung giáo trình Kỹ thuật số 1 bao gồm:

TRƯỜNG ĐẠI HỌC DÂN LẬP THĂNG LONG Khoa Toán – Tin học KỸ THUẬT SỐ ICE12 Hà Nội - 2005 KỸ THUẬT SỐ Các bước thiết kế hệ thống số Dùng SSI (các phần tử logic phần tử nhớ) Dùng MSI, LSI LSI có khả lập trình Hệ tổ hợp Hệ Bài toán Bài toán Xác định hệ thống Xác định hệ thống Xác định hệ thống Xác định hệ thống v v v v Bảng giá trị thật Bảng giá trị thật Phân chia chức Phân chia chức v v v v Cực tiểu hàm Bool Giảm bảng trạng thái Chọn MSI, LSI Chọn LSI có khả lập trình v v v v Chọn phần tử logic Mã hóa trạng thái Chọn SSI ghép nối MSI, LSI Sơ đồ logic v v v v Sơ đồ logic Bảng độ trạng thái Sơ đồ logic Phát triển phần mềm v v v v Lắp ráp, kiểm tra Các phần tử vào, Lắp ráp, kiểm tra Lắp ráp, kiểm tra v Cực tiểu hàm Bool v Chọn phần tử logic v Sơ đồ logic v Lắp ráp, kiểm tra Trang Kỹ thuật số CHƯƠNG RÚT GỌN BẢNG TRẠNG THÁI VÀ Mà HÓA TRẠNG THÁI I Rút gọn bảng trạng thái Rút gọn bảng trạng thái xác định hoàn toàn a Đặt vấn đề Bảng trạng thái thành lập ban đầu (bước 1) có trạng thái hoạt động giống nghĩa ta cần giữ lại trạng thái đủ mà hoạt động hệ khơng thay đổi xây dựng hệ đơn giản S ≥ [log2n] nv Œ Sv b Khái niệm tương đương trạng thái hệ Việc rút gọn bảng trạng thái xác định hoàn toàn dựa khái niệm tương đương trạng thái hệ - Hai trạng thái hệ tương đương với xuất phát từ trạng thái tác dụng chuỗi tín hiệu vào mà chuỗi tín hiệu chúng - Nếu gọi S1, S2, , Si, , Sp trạng thái hệ, Xk tổ hợp tín hiệu vào S (Si, Xk) trạng thái Si Z (Si, Xk) tín hiệu tương ứng Si tương đương với Sj S (Si, Xk) ≡ S (Sj, Xk) Xk S (Si, Xk) ≡ Z(Sj, Xk) Xk - Các trạng thái tương đương có tính bắc cầu nghĩa Pi ≡ Qj Qj ≡ Rk  Pi ≡ Rk Với mối quan hệ tương đương ta phân chia trang thái hệ thành nhóm trạng thái tương đương khơng giao nhau, Dựa vào tính chất ta dễ dàng xác định nhóm trạng thái tương đương hệ ta cần trạng thái đại diện c Phương pháp cực tiểu Huffman-Mealy - Đầu tiên liệt kê trạng thái có tín hiệu giống vào nhóm - Dưới tác dụng tín hiệu vào nhóm lại tách trạng thái khác liệt kê vào nhóm - Phương pháp tiếp tục tác dụng tín hiệu vào khơng xuất nhóm d Ví dụ Kỹ thuật số Trang n+1 n a c e g a c e g a b c d e f g h P1 = ( a, b, c, d, e, z b d f h b d f h 0 0 0 0 0 0 0 (h) f, g ) 1,1 1,1 1,1 1,2 1,1 1,1 1,1 P2 = ( a, b, c, e, f, g ) ( d ) ( h ) 1,1 1,2 1,1 1,1 1,2 1,1 P3 = ( a, c, e, g ) ( b, f ) ( d ) ( h ) 1,2 1,2 1,2 1,2 1,3 1,3 P4 = ( a, c, e, g ) ( b, f ) ( d ) ( h ) Bảng trạng thái ban đầu Bảng trạng thái rút gọn n a b d h Ví dụ n a b c d e f g h n+1 a a b b c c d d z e e f f g g h h 0 0 0 0 0 0 1 n+1 a a a a z b d h h 0 0 c P1 ( a, b, c, d, e ) 1,2 1,2 1,2 c d P2 ( a b ) ( c d e ) c P4 ( a b) 1,3 1,3 d g h) (f 1,1 1,1 1,2 1,2 1,2 1,2 1,2 0 1,3 1,3 2,3 (f e g h) 2,3 2,3 2,3 d e f (c d) e (f g 1,4 1,4 2,4 h) 2,4 2,4 2,4 Có nhóm trạng thái tương đương Bảng trạng thái rút gọn n+1 z n 1 a a e 0 c a f 0 e c f 0 f c f Rút gọn bảng trạng thái xác định khơng hồn tồn Đối với bảng trạng thái khơng hồn tồn xác định, tính chất bắc cầu khơng cịn nữa, ta phải sử dụng mối quan hệ tương thích việc rút gọn bảng trạng thái trở nên phức tạp nhiều a Các khái niệm Việc rút gọn bảng trạng thái khơng hồn tồn xác định dựa khái niệm tương thích trạng thái hệ - Hai trạng thái hệ tương thích (~) với xuất phát từ hai trạng thái tác dụng chuỗi tín hiệu vào mà chuỗi tín hiệu chúng - Nếu gọi S1, S2, , Si, , Sp trạng thái hệ Xk tổ hợp tín hiệu vào hai trạng thái Si va Sj tương thích với (Si ~ Sj) Trang Kỹ thuật số S(Si, Xk) ~ S(Sj, Xk) Xk Z(Si, Xk) = Z(Sj, Xk) Xk - Mối quan hệ tương thích khơng có tính chất bắc cầu, để xét lớp trạng thái tương thích với ta phải xem có tương thích với đơi hay khơng Một lớp trạng thái tương thích cực đại khơng phải tập hợp lớp tương thích khác, lớp tương thích tạo nên từ lớp tương thích cực đại - Một tập hợp lớp tương thích đóng kín tín hiệu vào lớp tập hợp phải thực S(S1,Xk),S(S2,Xk), .S(Sm,Xk) phần tử lớp tương thích đấy, S1,S2, , Sm phần tử lớp tương thích, nghĩa điều kiện lớp tương thích bảo đảm - Việc rút gọn bảng trạng thái không hồn tồn xác định tìm kiếm tập hợp đóng kín nhỏ lớp tương thích mà bao phủ trạng thái bảng b Xác định tập hợp nhỏ lớp tương thích bao phủ bảng - Tập hợp lớp tương thích đóng kín nhỏ nằm số lớp tương thích ngun tố (prim compatible sets), tập lớp tương thích cực đại (maximum compatible sets) Nếu Ci, Cj tập lớp tương thích cực đại có tập đóng kín kéo theo tương ứng Ui, Uj, Ci ⊃ Cj Ui ⊆ Uj Ci lớp tương thích ngun tố Cj bỏ qua Ci bao phủ Cj điều kiện kéo theo lại đơn giản Trên sở ta xây dựng danh sách lớp tương thích nguyên tố bảng trạng thái kèm theo đơi trạng thái tương thích đảm bảo điều kiện tương thích cho lớp - Để đảm bảo điều kiện đóng kín lớp tương thích nguyên tố ta xây dựng đồ thị kéo theo lớp tương thích nguyên tố Gốc đồ thị lớp tương thích ngun tố khơng điều kiện (khơng địi hỏi đối trạng thái tương thích kéo theo) lớp tương thích nguyên tố tự đóng kín (các đối trạng thái tương thích kéo theo nằm lớp này) lớp tương thích ngun tố đóng kín với (đối trạng thái tương thích kéo theo lớp nằm lớp kia) Ta ký hiệu kéo theo →, tự đóng kín Ο với đồ thị lớp tương thích ngun tố gốc ln bảo đảm tính đóng kín - Đồ thị kéo theo cho phép ta nhanh chóng xác định tập đóng kín nhỏ lớp tương thích bao phủ trạng thái bảng xuất phát từ gốc nhiều gốc c Phương pháp bảng bậc thang xác định tập đóng kín nhỏ bao phủ bảng - Ta khảo sát đối trạng thái bảng bảng bậc thang kết ta đối trạng thái tương thích khơng điều kiện (V), đối trạng thái tương thích có điều kiện đối trạng thái khơng tương thích (x) - Từ đối trạng thái khơng tương thích ta xác định lớp trạng thái tương thích cực đại bảng Ví dụ: Bảng có trạng thái ( a b c d e f ) đối trạng thái khơng tương thích là: a ≁ b, b ≁ c, c ≁ d Từ a ≁ b  ( a c d e f ) ( b c d e f ) b ≁ c  ( a c d e f ) ( b d e f ) (c d e f ) c ≁ d  ( a c e f ) ( a d e f ), ( b d e f ), ( c e f ) ( d e f ) Kỹ thuật số Trang Các lớp tương thích cực đại là: ( a c e f ), ( a d e f ), ( b d e f ) - Từ bảng bậc thang lớp tương thích cực đại ta xác định gốc đồ thị lớp tương thích nguyên tố sau gốc, q trình khử bỏ số lớp tương thích ngun tố khơng cần thiết khơng đảm bảo tính đóng kín - Dựa vào đồ thị kéo theo ta xác định tập đóng kín nhỏ lớp tương thích bao phủ trạng thái bảng Ví dụ: x y a b c d e f X1 X2 X3 X4 c/z1 d/z1 f/f/z1 - c/z1 e/z2 f/z1 f/- a/ a/z1 a/z1 - a ~ c, b ~ c, b ≁ c z1 ≠ z2 abc khơng phải lớp tương thích ( khơng có tính bắc cầu) - Bảng bậc thang : b c d e f cd V cf cf V a X df, cf df, cf V b X ef V c V V d V e - Vì a≁b, b≁c, c≁d ta có lớp tương thích cực đại: ( acef ) ( adef ) ( bdef ) (đã xét trên) - Đồ thị kéo theo: acef bdef - Chọn tập đóng kín nhỏ bao phủ bảng def - Kí hiệu: acef: A bdef: B ta có adef bảng trạng thái rút gọn : acef bdef x y A B Ví dụ 2: x y a b c d e f g h X1 X2 b/z2 a/z1 -/z1 d/z2 c/z1 a/g/z1 h/z2 g/z1 f/-/z2 d/c/z1 b/e/- b c d e f g h X X X X X X A / z1 B / z1 B / z2 A / z1 A / z1 A / z1 X a fg V cg V V bf b c cdg deh a - Chọn lớp : a: A; bcfg: B; cdg: C; deh: D Trang X - Bảng bậc thang: - Các lớp tương thích cực đại : a, bcfg, cdg, deh - Đồ thị kéo theo bcfg X df, cf X V dg d bc cg, de e f g Bảng trạng thái rút gọn x y A B C D X1 X2 B/ Z2 A/ Z1 C/ Z1 C/ Z1 D/ Z2 B/ Z1 B/ Z2 D/Z2 Kỹ thuật số Ví dụ x y A B C D E F 01 10 11 Z E D B C C A D - C C F A - - Bảng bậc thang: B C D E F V X BE A AC V BD, CD CD B V X AC D AC C E Các lớp tương thích cực đại: AC, AE, BE, BCDF Có lớp tương thích ngun tố: BCDF, BD, BF, CD, BE, AE, AC, E, F x y A D E 01 10 11 Z E D D A A D A A D - Đồ thị kéo theo: AC BCDF BE AE Chọn: AC, BCDF, BE Kí hiệu: AC: A, BCDE: D, BE: E Ví dụ x y A B C D E F 01 10 11 A/1 E/-/B/1 C/-/- F/-/1 -/1 C/-/1 A/- D/F/B/1 E/0 -/D/0 - Bảng bậc thang B C D E F AE, DF BD AB, ED AC V A BF BE, EF CE DF B X V X C BC AC, DE D V E ABCEF, C≁F → ABCE, ABEF BCDEF, C≁D → BCEF, BDEF BCEF, C≁F → BCE, BEF x 01 10 11 A/1 A/1 B/1 A/1 B/1 B/0 y A B Các lớp tương thích cực đại: (ABCE), (ABEF), (BDEF) Có 21 lớp tương thích ngun tố: ABCE, ABEF, BDEF, BDE, BDF, BEF, DEF,ABF, AEF, ACE, BCE, BD, BE, BF, DE, DF, EF, AF, CE, B, D Đồ thị kéo theo: ABCE Q BDEF J ABEF Chọn ABCE (A), BDEF (B) II Mã hoá trạng thái Sự cần thiết Bước quan trọng việc rút gọn bảng trạng thái mã hoá trạng thái Mã hoá trạng thái gán cho trạng thái tổ hợp giá trị biến trạng thái cho phân biệt trạng thái với Kỹ thuật số Trang Phụ thuộc vào việc đánh dấu trạng thái mà mạch điện cần thực phức tạp đơn giản cần phải chọn phương pháp mã hoá trạng thái tối ưu để sơ đồ thực chúng đơn giản Gọi n số trạng thái, S: số số phần tử nhớ cần cho hệ số cách mã hoá trạng thái, số cách (2 S − 1) ! đánh dấu N = S (2 − n) ! S ! n S N 840 10.810.800 Mã hoá trạng thái ý đến xếp cạnh đôi trạng thái Nếu ta ý đến xếp cạnh đối trạng thái hợp lý dẫn đến bảng ma trận tín hiệu bảng kích thích có chứa nhóm lớn số “1” hay “0” cạnh Dẫn tới phương trình kích thích phương trình đơn giản (Armstrong) Sau ta giới thiệu cách mã hoá với đánh giá số điểm đối trạng thái cạnh Giả sử ta dùng phần tử nhớ D, cách làm sau: Cho n số trạng thái số phần tử S0 = [log2 n] Bắt đầu đặt W(qi, qj)= với đôi trạng thái bảng Sau đánh giá trọng lượng W(qi, qj) cho đôi theo nguyên tắc Trạng thái tới nhau: S(qi,Im)=S(qj,Im)→ W (qi,qj)+S0 Tín hiệu Z(qi,Im)=Z(qj,Im)→ W(qi,qj)+1 Im, Ir cạnh (trạng thái tốI cạnh nhau) S(qi, Im)=qj, S(qi, Ir)=qk →W(qj,qk)+1 Đôi trạng thái tới giống đôi trạng thái S(qi, Im) = qi S(qj,Im) = qj hay → W(qi,qj) +(S0-1) S(qi, Im) = qj S(qj,Im) = qi Trọng lượng cách mã hoá tổng trọng lượng tất đôi trạng thái mà mã hố cạnh Trong cách mã hố cách mã hố có trọng lượng cao dẫn tới mạch điện đơn giản Ví dụ: ta có bảng trạng thái có trạng thái S0 = x1x2 q q1 q2 q3 q4 00 01 11 10 q3,0 q2,0 q4,0 q4,1 q1,1 q4,1 q3,0 q3,0 q2,0 q2,1 q3,0 q1,1 q1,0 q3,0 q3,1 q4,1 Đổi trạng thái q1q2 q1q3 q1q4 q2q3 q2q4 q3q4 (1) 0 Trọng lượng theo (2) (3) (4) 2 1 1 2 ∑ 7 10 Theo (1) q1q2 với đầu vào 11 → trạng thái tới q2, W(q1,q2) = 0+S0 = Theo (2) q1q2 v ới 00, 01,10 → tín hiệu nhau, số điểm 1+1+1 = Trang Kỹ thuật số Theo (3) hàng có q1-q3-q1(10,00,01) hàng có q3-q1 (01,11) cạnh nên W(q1,q3) = 1+1+1 = Theo (4) q1,q3 01 10 trạng thái q1,q3, W(q1,q3) = 2(S0-1) = y1 y2 1 q1 q3 q2 q4 Đánh dấu y12 Đánh dấu y1y2 Đánh dấu y1y2 q1 00 q1 00 q1 00 q2 01 q2 01 q2 11 q3 10 q3 11 q3 01 q4 11 q4 10 q4 10 Cách đánh dấu 28 điểm Cách đánh dấu 25 điểm Cách đánh dấu 19 điểm Và cách đánh dấu mạch điện đơn giản Mã hoá trạng thái dùng phân hoạch a Định nghĩa Một phân hoạch Π tập hợp S tập hợp nhóm khơng giao S cho gộp lại S Ví dụ : S=(a,b,c,d,e,f); Π1 = { a, b, c ; d , e, f } ; Π2 = { a, f ; b, e ; c, d } = {B21; B22; B23}; = {B11; B12}; Định nghĩa Phân hoạch Π tập hợp trạng thái S hệ logic M gọi phân hoạch (phân chia tự đóng kín)nếu trạng thái Si Sj thuộc Nhóm Π (BΠ ) tác dụng tín vào I đấy, trạng thái ISi ISj lần nhóm Π (B’n) Nghĩa phân hoạch trạng thái nhóm tác dụng tín hiệu vào giống trạng thái nằm nhóm Π Các nhóm ta bảo nhóm tự phụ thuộc b Xác định phân hoạch (dựa vào định nghĩa) Ví dụ : cho bảng trạng thái N A B C D E F N+1 X=0 X=1 E B E A D A C F F C E C Kỹ thuật số Đồng A B p phân chia { A, B ; C ; D ; E ; F } đóng kín AC p A, B; D, E p A, C, F; A, B; D, E p A, B, C , F ; D, E AD p CE,BF p DF,AC p A, B, C , D, E , F =Π(I) Π(0) = { A; B; C ; D; E; F } Π(I) Π(0) phân chia tầm thường Trang Π3 Π1 Π(0) Π Lattice Π5 =Π(I); Π2={ A, C , B, F ; D, E } A, B, C , F ; ABCF ; C Π3={ A ; B ; C ; D ; EF } D, E DE Π4={ A, B ; C ; D ; EF } D Π(I) Π(I) Π(I) E Π(I) Π(I) Π(I) ABCF ; ABCF ; ABCF ; DE D, E D, E a b c Π4 Π2 Π0=Π(0); Π1={ A, B ; C ; D ; E ; F } A, B B Π5 F ABCF ; DE Π(I) EF d e Nếu Π1 Π2 có tính chất Π1*Π2 Π1+Π2 có tính chất Tính chất cho phép tìm phân hoạch khác từ phân hoạch biết Ví dụ Π4=Π1+Π3 Tập hợp phân chia đóng kín tạo nên lattice gọi ∏ lattice c Phân hoạch việc mã hoá trạng thái giảm phụ thuộc lẫn biến trạng thái Cho hệ logic với K biến số trạng thái (y1,y2…… yk) tồn phân chia đóng kín Π trạng thái hệ r biến số trạng thái, r =[log2 # Π], đánh dấu cho nhóm Π (y1, y2,…, yr) biến số trạng thái tới Y1,Y2, …, Yr độc lập với (k-r) biến số lại Ví dụ n n+1 x=0 x=1 A D A C C B C A A B C D z x=0 0 0 Π1 ={ A, B ; C, D }và Π2={ A, C ; B, D } tự đóng kín x=1 0 Dùng biến y1 đánh dấu phân biệt nhóm Π1 Nó độc lập với y2 Biến y2 để đánh dấu phân biệt nhóm Π2, độc lập với y1 Ta có: y1y2 Ap00 Bp01 Cp10 Dp11 y1y2 Ap00 Bp01 Cp11 Dp10 Trang 10 Y1 Y2 00 11 00 10 10 01 Z 0 0 1 0 10 00 Y2= x y = f2(x,y2) Z = x y1 y + xy1y2= f (x,y1,y2) Nếu y1 tận dụng Π1, cịn y2 khơng Y1 Y2 00 10 00 11 11 01 0 0 1 0 11 00 Y1= x y1+ x y1 = f1(x,y1) Z tận dụng Π2 Y2 phức tạp Y1 = x y1 + xy1 = f1(x,y1) Y2 = x y1 + xy2 = f2(x,y1,y2) Z = x y2 = f0(x,y2) Kỹ thuật số a Sơ đồ khối hệ thống Bộ nhớ (A1) Bộ nhớ (M) MBR (8 bit) (STA) Add (LDA) ACC (8 bit) ALU IR (8 bit) OP bit Sub MAR (6 bit) IAR (6 bit) AD bit Bộ nhớ (A1) Bộ nhớ (M) 1 FF Đọc Bẻ ghi ngắt tín hiệu (t1, ,t4) khơng đưa vào đích tương tự FF Viết 10 01 11 00 LDA ADD STA SUB Giải mã lệnh  R S S 14 R 10 13 t1 INCR  10  15  20 Xung đồng Giải mã µ lệnh 14 10 Đếm µ lệnh RAZ Ghi µ lệnh µicro program Lệnh nhảy Bộ nhớ điều khiển chứa µ program b Dạng micro lệnh 14 13 12 11 10 Tạo tín hiệu điều khiển Bít 14 0 1 13 1 Phép tính bên Hiệu lực “VIẾT” Hiệu lực “ĐỌC” Không dừng Kiểm tra xem thực xong chưa? Bit 12 11 0 1 1 Không có phép tính ADD SUB INCR (+1 IAR) V Bài tập Xây dựng hệ thống số thực nhân số nhị phân Xây dựng hệ thống số thực nhân số thập phân Xây dựng hệ thống số thực chia số nhị phân Xây dựng hệ thống số thực chia số thập phân Xây dựng mạch điện điều khiển đèn giao thông ngã tư Xây dựng thiết bị đọc tệp số liệu từ băng từ vào RAM phát Kỹ thuật số Trang 51 CHƯƠNG XÂY DỰNG CÁC HỆ THỐNG SỐ VỚI BỘ VI XỬ LÝ Với phát triển mạnh mẽ kỹ thuật vi xử lý, ta thường xây dựng hệ thống số với vi xử lý (micro processor) Nó cho phép xây dựng hệ thống phức tạp, xây dựng nhanh, dễ hiệu chỉnh, khai thác đơn giản, cho phép mở rộng hệ thống Ta mô hệ logic tổ hợp, máy vi tính, cho phép bỏ qua giai đoạn rút gọn bảng trạng thái Mã hoá trạng thái nhiều thời gian dễ dàng sửa chữa, hiệu chỉnh q trình mơ mở rộng hệ thống ta cần thay đổi mở rộng chương trình mà thơi I Mơ hệ logic tổ hợp Các phần tử logic mov AX, X not AX mov Z, AX Z X X Y Z X Y Z mov AX, X mov BX, Y AND AX, BX mov Z, AX Z X Y X Y mov AX, X mov BX, Y OR AX, BX mov Z, AX Z Reset : Set D (X) Q Set : Q Data : Reset mov AX, X mov BX, Y OR AX, BX NOT AX mov Z, AX mov AX, X mov BX, Y AND AX, BX NOT AX mov Z, AX XOR AL, AL mov FF, AL; Flipflop → “0” mov AL, mov FF, AL; Flipflop → “1” mov AX, X mov FF, AX Call Delay Xây dựng mạch : F = [(XY) + V] ⊕ W X Y V W 8 ⊕ Address : Trang 52 X Y V W F mov mov inc mov AND inc mov OR inc SI, address AL, [SI] SI BL, [SI] AL, BL SI BL, [SI] AL, BL SI ; XY ; (XY) + V Kỹ thuật số mov XOR inc mov BL, [SI] AL, BL SI [SI], AL ; [(XY) + V] ⊕ W ; cất kết Xây dựng mạch F = AB’C’D’EFG’H + A’BCD’EFG’H’ A B’ C’ H A’ B C H’ mov AL, X cmp AL, 8Bhex jz OKA mov AL, Y cmp AL, 6Chex jz OKA XOR AL, AL mov F, AL HLT OKA : mov AL, mov F, AL HLT X Y F F Nhận X So sánh với 10001101 Yes JZ ? ; 10001101 ; 01101100 ; AL = ; cất kết ; dừng ; AL = ; cất kết ; dừng Nhận Y So sánh với 01101100 Yes JZ ? F F Mô kiểm tra chẵn lẻ bit X Bộ kiểm tra chẵn lẻ bit Kiểm tra lẻ ORG 3000hex call CI mov BL, AL mov DL, 7h mov CL, 00 loop : mov AL, BL AND AL, 01 XOR CL, AL ROR BL, dec DL jnz loop mov AL, BL AND AL, 01 XOR CL, AL jnz ĐÚNG Kỹ thuật số “Đúng” “Sai” Bít chẵn lẻ 0 Mã số liệu 0000000 0000001 0000010 0000011 1111111 X 1000000 0000001 0000010 1000011 0111111 ; vào số liệu ; cất vào B ; khảo sát 7bit số liệu ; cất kết khảo sát 7bit số liệu ; xét bit thấp hay ; AL ⊕ CL ; chuẩn bị (BL) bít ; hết 7bit số liệu ? ; hết 7bit số liệu ; xét bít chẵn lẻ ; so sánh với kết kiểm tra ; Trang 53 mov jmp Đúng : mov DISPL : call mov Tiếp : mov AND add Call ROL dec jnz HLT CI : mov int Ret CO : mov int Ret END AL, ‘S’ DISPL AL, ‘Đ’ CO D, 8h AL, BL AL, 01 AL, 30 CO BL, DL Tiếp ; “Sai” ; “Đúng” ; hiển thị số X ; bit chẵn lẻ (A7) ; A6 → A0 ; quay tới trái 1bit ah.1 21h ah, 0E 10h II Mô hệ logic Từ đồ thị trạng thái đến lưu đồ lệnh máy Quá trình thiết kế hệ logic Bài toán → Bảng trạng thái → Rút gọn bảng → → Mã hoá trạng thái → Viết pt I/O → → ROM, PLA (MSI, LSI) → µP 20% thời gian 80% thời gian Quá trình mã hố trạng thái, viết phương trình vào/ 80% thời gian thiết kế, sử dụng µP giai đoạn khơng mà cần viết chương trình Nhưng thời gian chạy chương trình chậm 2, lần so với mắc dây cố định (logic cable) Để thời gian trả lời nhanh, cần suy nghĩ viết chương trình cho gọn nhẹ Đồ thị trạng thái → Lưu đồ Xi / Zi Máy Moore Qi Trạng thái ổn định (Qi, Xi, Zi) Xi / Zi X = Xi + Xi+1 / Zi+1 Qi+1 Xi+1 / Zi+1 Xi+1/ Zi+1 Qi+1, Xi+1, Zi+1 Xi+2 / Zi+2 X = Xi + Trang 54 Kỹ thuật số Từ tương đồng này, ta xây dựng chương trình sở lưu đồ sau Bảng số liệu vào : X = X = Điều kiện ban đầu Trạng thái tới Nhận số liệu vào Thời gian trả lời Xác định trạng thái tới Địa Xác định tín hiệu Phát số liệu Tiếp tục X=0 X=1 N+1 Tín hiệu X=0 X=1 2N+1 3N+1 N+2 2N+2 3N+2 N+3 2N+3 3N+3 N 2N 3N 4N Địa END - Nếu nhiều đầu tăng độ rộng bảng Bộ xử lý 8bit tạo đến đầu với chương trình tốc độ - Nếu nhiều đầu vào tăng kích thước bảng trạng thái tới Nếu j : số biến vào, n : số biến trạng thái, bảng có 2j+n phần tử + (j+n) khơng lớn độ dài từ máy để đảm bảo xử lý + Số trạng thái lớn nhớ cần mở rộng tương ứng Lúc cần rút gọn bảng trạng thái Để dễ dàng tìm kiếm trạng thái tới (Y) tín hiệu (Z) Ta ghép ma trận trạng thái tới tín hiệu làm một, vị trí (N, X) ta để ln Y Z Để mở rộng cho bảng lớn, ta dùng byte mã hoá cho trạng thái byte cho tín hiệu Như bảng có tới 256 trạng thái tín hiệu Các hàng xếp tạo thành vecto chiều (string) Bảng (0, 0) (0, 1) (Y, Z) Z Y Z Y (0, 2) (0,j-2) (0,j-1) (Y, Z) n=0, j=0 → 0+0=0 n=0, j=1 → 0+2=2 n=0, j=2 → 0+4=4 (1, 0) (Y, Z) n=1, j=0 → 2j+0 n=1, j=1 → 2j+2 n=1, j=2 → 2j+4 (1, 1) (1, 2) (n-1,0) (n-1,1) (n-1,2) (1,j-2) (1,j-1) Bảng n hàng, j cột Mỗi vị trí có địa : n(2j) + 2j (n-1,j-2) (n-1,j-1) Bố trí hình J : vào số liệu (số tham số vào, bao cột) X Y Z → trạng thái ban đầu Q0 ? - - Y : trạng thái tới, X : tín hiệu vào ? - - Z : tín hiệu Kỹ thuật số Trang 55 Chương trình ORG 3000 H mov LEA call mov call mov add mov call mov call mov call mov call mov call mov call call Tiếp : mov call call mov add mov cmp jz Nozero : add dec jnz zero : push add add mov mov add call inc mov mov add call call pop jmp CFCR : mov call Trang 56 SP, 3400h AL, ‘J’ CO AL, ‘:’ CI DL, AL DL, AL CL, 00 LFCR AL, ‘9’ CO AL, ‘X’ CO Al, ‘Z’ CO AL, ‘Y’ CO AL, 30 CO LFCR AL, ‘?’ C0 CI AH, AL AH, AL AL, AL, CL zero AL, DL CL nozero BX AL, BL AL, AH BL, AL AL, [BX] AL, 30h C0 BL AL, [BX] CL, AL AL, 30h C0 LFCR BX Tiếp AL, 0Dh CO ; stack 3400 ; CRT ; J: ; vào số liệu X ; CL = trạng thái ban đầu ; DL = 2J (q0) ; (vị trí đầu hàng) ; xuống dịng ; CRT X Z Y ; xuống dòng CRT ? X ; AH = 2X ; bắt đầu xác định vị trí bảng ; Trạng thái q0 ; q ≠ q0 ; + 2J + 2J + ; cắt địa đầu bảng ; đầu hàng ; vị trí hàng (cột) ; địa Z (BH) ; khơng thay đổi bảng nhỏ ; để hiển thị ; CRT : Z ; địa Y (BX) ; ; cất trạng thái tới CL ; CRT : Y ; xuống dòng ; lấy lại địa đầu bảng ; Kỹ thuật số CO : CI : Bảng : mov call Ret mov int Ret mov int Ret DW DW DW AL, 0Ah CO ah, 0eh 10h ; Chương trình ; CRT ah, 21h : Key board Y Z h Y X h 03 02 h ; ; ; END Mô cộng nhị phân nối tiếp a Dựa vào bảng trạng thái 00 01 11 10 q0 q0, q0, q1, q0, q1 q0, q1, q1, q1, Ta sử dụng chương trình với n = (hàng), j = (cột) Điền bảng trạng thái vào chương trình BẢNG : DW DW DW DW DW DW DW DW 0000 h ; 0001 h ; 0100 h ; 0001 h ; 0001 h ; 0100 h ; 0101 h ; 0100 h ; q0 = 00 q0 = 00 q0 = 01 q0 = 00 q0 = 00 q0 = 01 q0 = 01 q0 = 01 Z=0 Z=1 Z=0 Z=1 Z=1 Z=0 Z=1 Z=0 b Dựa vào mơ hình cộng Ai Bộ tổng 1bit Bi Zi = Ai ⊕ Bi ⊕ Ci-1 Ci-1 Ci = AiBi + AiCi-1 + BiCi-1 Phần tử nhớ - Ai, Bi nhập từ bàn phím lên hình AiBiCi-1 | ZiCi - Bố trí ghi : Ai cất AH, Bi cất BH, Ci-1 cất CH; Zi Ci sau Ai.Bi → AL, Ai.Ci-1 → AH, Bi.Ci-1 → CH ORG 3000 H LEA dx, display mov ah, ; “AiBiCi-1 | ZiCi” int 21 ; ? ? call LFCR mov ch, 30h ; Bắt đầu Ci-1 = Tiếp : mov al, ‘?’ call CO call CI ; nhận Ai mov ah, al mov al, ‘?’ call CO call CI ; nhận Bi mov bh, bl mov al, ch mov al, ‘ ’ Kỹ thuật số Trang 57 call call call call call mov XOR XOR call call mov AND AND AND OR OR mov call call jmp mov mov int Ret Display Space : CO CO space space space al, ch al, bh al, ah CO space al, ah al, bh ah, ch ch, bh ch, ah ch, al al, ch CO LFCR Tiếp ah, 0E al, 20 10 h ; display Ci-1 ; ; ; ; Ci-1 ⊕ Bi ; Ci+1 ⊕ Bi ⊕ Ai ; display Zi ;‘’ ; Ai.Bi ; Ai.Ci-1 ; Bi.Ci-1 ; Bi.Ci-1 + Ai.Ci-1 ; Bi.Ci-1 + Ai.Ci-1 + Ai ; display Ci ; xuống dòng ; ‘9’ DB ‘AiBiCi-1 | ZiCi $’ END c Dựa vào mạch điện Ω Y = S + Ry = A i B i + A i B i y = A i B i + (A i B i )y S y Ai Bi Zi = A i ⊕ Bi ⊕ y R ⊕ ⊕ Zi Ta lại đến giống trường hợp Zi = Ai ⊕ Bi ⊕ y Y = AiBi + Aiy + Biy Nếu mạch điện xây dựng theo Z i = A i B i C i −1 + A i B i C i −1 + A i B i C i −1 + A i B i C i −1 chương trình dài III Xây dựng hệ thống số với vi xử lý Xây dựng thiết bị đọc tệp số liệu từ băng từ vào RAM phát - Chức hệ thống Nhận Băng Nhận Đọc tệp số liệu từ băng từ vào RAM phát Phát từ Xung đọc - Sự hoạt động hệ thống ACK Gửi Ấn nút đọc băng, hệ thống đọc tệp số liệu từ băng, gửi vào Đọc băng RAM, điền đầy RAM (Full) phát tới RAM (Máy in) Đường dây empty (END) Khi bit tin cuối gửi trạng thái bit stop (SP) đưa hệ thống vào gửi ký tự kiểm tra (Check code) Bit cuối Check Code gửi, SP hệ thống vào đợi ACK Nếu không nhận trả lời (ACK) khoảng 1/3 giây (Time out) tin phát lại Trang 58 Kỹ thuật số Nếu nhận trả lời ACK, hệ thống phát xung đọc (Read Pulse State – tương tự ấn nút “Đọc băng” READ), hệ thống chuyển sang đọc tệp số liệu khác từ băng (Read Type) Quá trình lặp lại tất tệp số liệu băng đọc EOF (End Of File) đưa vào hệ thống trạng thái ban đầu (Idle) - Từ hoạt động hệ thống ta đưa đồ thị trạng thái hệ thống a Dùng mạch SSI Từ yêu cầu hệ thống ta xác định đồ thị trạng thái cho việc phát số liệu Để tăng độ tin cậy, thay đổi trạng thái thay đổi flip-flop Trạng thái 010 không dùng, coi don’t care Giả sử dùng JK flip-lop ABCk ABCk+1 Ilde 000 100 001 100 000 EOF Read 110 111 Ấn Read Type 111 011 READ 001 011 001 Full 001 110 Send 101 100 110 yk Œ yk+1 0Œ0 0Œ1 1Œ0 1Œ1 BC Gửi kt cuối 111 Time out SP Gửi kt ktra 011 00 01 Ilde 000 Đợi ACK 001 Read Tape 100 A End Xác định JA – KA SP J A = B(Read + ACK + TimeOut) Đợi ACK 001 K A = B.C.SP + B.C.EOF ACK Read Pulse 101 Xác định JB – KB J B = A.C.Full + A.C.TimeOut) K B = A.SP(Send Check) Xác định JC – KC J C = B.End K C = B.TimeOut + A.B Read ACK Time out BC SP B C EOF B Kỹ thuật số J Q A K Q A Xóa A C Full A C Time out B A SP JK 01-1 -0 Read Pulse 101 BC 00 A 10 Gửi ktự ktra 011 Gửi ktự cuối 111 Send 110 01 11 10 1- 11- 0- X -0 -1 -0 -1 -0 BC 00 A 01 11 10 0- 01- -1 X 10- 0- -0 -0 BC 00 A 01 11 10 0- -0 -1 -0 X 00- -1 -0 -1 J Q B Time out K Q B Xóa 11 B A C End B J Q C K Q C Xóa Trang 59 Số vỏ IC : 3JK.FF=1 1/2, gate đầu vào = 2, gate đầu vào = 2/3 Tổng cộng ¼ vỏ b Dùng đếm MSI Các mạch MSI với FF/vỏ cho phép ta đơn giản thiết kế đếm Việc mã hố khơng cần thiết Clear Ta dùng đếm nhị phân bit 74163 Bình thường đếm 0, 1, , 6, 7, Ilde 000 Để tạo rẽ nhánh Read Pulse (110 → 000), đợi ACK (101 → 101) Read Tape (001 → 000), ta phải tác động vào đầu DADBDC điểm tới chỗ rẽ nhánh (0001, 010, 000) đồng thời có tín hiệu rẽ nhánh (Read Pulse, Time Out, EOF) vào LOAD ngăn lại don’t care EOF Read 001 Full 010 End 011 SP Time out 100 SP 101 BA 00 C ACK 01 Idle Gửi kt ktra 11 Read Tape Đợi ACK 10 Gửi kt cuối X Send Read pulse 110 BA C 00 01 11 10 X X 0 X X X BA C DA = QB - 00 01 11 10 X X X X X BA C DB = QA.QC 00 01 11 10 X X 0 X X X DC = - Count MUX làm đơn giản chọn tín hiệu điều khiển tương ứng Mỗi đầu vào tương ứng tín hiệu mũi tên dọc đồ thị Mỗi đầu vào “Branch MUX” tương ứng mũi tên phân nhánh đồ thị (EOF, Time Out) C BA 00 01 11 10 LOAD EOF = (Branch) • - Ta bỏ “Branch MUX” • 1 Time out LOAD = QB.QC + QA.QC.TimeOut + QA.Q B Q C EOF Dùng MSI ta cần vỏ 1/4 X (LSB) QA QB QC QD Carry Load CLK DA Clear EOF 0 0 Time Out Branch MUX 74151 A B C QA QB QC Trang 60 DB QB DC DD END ENT O QA QC Read Full End SP SP ACK 1 Count MUX 74151 A B C QA QB QC Kỹ thuật số c Dùng vi xử lý (µP) - Ta xây dựng lưu đồ tương ứng với đồ thị trạng thái - Trên sở lưu đồ ta viết chương trình cho hệ vi xử lý để đọc số liệu gửi Xây dựng mạch điều khiển đèn giao thông ngã tư Bắt đầu Read Button Yes Read Tape Nút bấm cho hành Yes End Of File Clock J y S y K y R y p Send Data Send Check Code Start Timer Z1 Z2 Z3 Traffic Controller Phát lại Sau chu kỳ ACK Yes Z1 : Đèn cho phép đại lộ A Z2 : Đèn cho phép đại lộ B Z3 : Đèn đỏ phút hành qua Yes Time Out (1/3 sec) Xây dựng mạch điều khiển đèn giao thông ngã tư Mạch cho phép đường qua phút, sau dừng lại để đường cho xe qua Khi người muốn qua đường, bấm nút góc đường, cuối chu kỳ phút, đèn đỏ phút để hành qua, xe dừng Mạch điều khiển giao thơng (TC) Người bấm vào JK-FF (un cloked), đặt vào SR-FF clock “0” cung cấp tín hiệu vào p đến TC a TC mạch đồng với trạng thái sau q1 : tín hiệu Z1Z2Z3 = 100, tín hiệu tiếp = 010 khơng bị ngắt q2 : tín hiệu Z1Z2Z3 = 010, tín hiệu tiếp = 100 không bị ngắt q3 : có ngắt xuất trạng thái q1 (bộ hành muốn qua đường) q4 : có ngắt xuất trạng thái q2 (bộ hành muốn qua đường) Giả thiết bắt đầu q1, hoạt động bình thường (p = 0), máy chuyển đổi q1 q2, phát tín hiệu 100 010 - Nếu có ngắt (p=1) q1, tín hiệu tiếp Z1 = 0, Z2 = 0, bình thường tham số tiếp Z1 = 1, Z2 = Để quay lại phép tính bình thường sau chu kỳ clock, JK-FF phải reset tín hiệu Z3 = (h.b) - Nếu có yêu cầu người Z3 = yêu cầu bỏ qua JK-FF reset - Nếu ngắt xuất q2 trình tương tự trở bình thường Z1=0, Z2 = (h.c) Chú ý : TC có phần điều khiển tín hiệu vào độ từ p = sang p = Còn độ từ p = sang p = tín hiệu ngồi (người bấm nút) q Q1 Q2 p q2,100 q1,010 h.a q q1 q2 q3 q4 p q2,100 q1,010 q2,100 q3,000 q3,101 h.b Kỹ thuật số q q1 q2 q3 q4 p q2,100 q1,010 q2,100 q2,010 q3,000 q4,000 q3,001 q4,001 h.c Trang 61 p y1y2 Q100 Q201 Q411 Q300 01 00 00 01 Y1 Y2 10 11 11 10 100 010 010 100 Z1Z2Z3 Xây dựng với D.FF 000 000 001 001 Z1 = y p Y1 = p Z2 = y2 p Y2 = y p + y p Z3 = y p Clock Nút bấm cho hành J y K y S y R y p D2 y2 y2 ∆=2T b Dùng µP Ta xây dựng lưu đồ cho hoạt động điều khiển giao thơng Sau viết chương trình cho µP xử lý Z2 p (y1) p D1 Z1 y1 Z3 p y1 Clock Bắt đầu Đèn Z1 Đã phút Đổi hướng Z1  Z2 Có người IV Đánh giá hệ thống số xây dựng với µP Đèn Z3 Ưu nhược điểm hệ thống số thực với µP Đã phút a Ưu điểm - Có thể khí hố được, có tính chất hệ thống, khơng phụ thuộc vào khéo léo người thiết kế - Hệ thống thực nhiều chức - Khử bỏ số giai đoạn khó khăn phương pháp thiết kế thơng thường - Thời gian xây dựng hệ nhánh, hiệu chỉnh dễ dàng - Đơn giản khai thác không cần nghiệp vụ cao - Có khả sản xuất hàng loạt dẫn tới độ tin cậy cao, giá thành hạ, sử dụng rộng rãi - Hệ thống tương đối linh hoạt muốn thay đổi cần thay đổi chương trình, khơng cần thay đổi phần cứng - Cho phép thực hệ thống điều khiển phức tạp - Ít linh kiện, gọn nhẹ, tiêu thụ lượng b Khó khăn - Logic mắc dây cố định, nhanh logic lập chương trình để tăng tốc độ cần suy nghĩ viết chương trình cho gọn nhẹ - Để hiểu biết họ µP, µC khó họ logic thơng thường Trang 62 Kỹ thuật số - µP thiết bị địi hỏi kỹ thuật lập chương trình Nhưng khó khăn khắc phục Lựa chọn logic mắc dây cố định logic lập chương trình - Những thiết bị dùng 100 mạch MSI thay µP - Có thể chọn µC hệ µP (nếu dùng nhiều) - Có thể chọn mini µC (µC rẻ yếu hơn) - Chọn logic cable, µP, µC, mini phải dựa vào nhiều yếu tố người, thiết bị, linh kiện Xác định hệ thống Phân tích chức Cần nhanh Thực hiệu nhiều chức Khả mở rộng hệ thống Giữ nhiều số liệu Chọn logic lập chương trình Chọn logic mắc dây Đặc điểm hệ thống số làm việc theo chương trình Sự đời hệ thống số làm việc theo chương trình có ảnh hưởng to lớn đến cấu trúc hệ thống khả thiết kế đặc biệt khả ứng dụng khai thác chúng Các hệ thống số, hệ thống máy tính bao gồm phần cứng (Hardware), phần mềm hệ thống (system software) phần mềm ứng dụng (application software) Với phát triển mạnh mẽ công nghệ vi điện tử, phần cứng hệ thống có nhiều thay đổi Phần cứng chia phần cứng hố (firmware) hay phần vi chương trình phần logic cứng hay phần linh kiện điện tử Các vi chương trình ghi ROM nhớ ghi đọc thẳng Các nhớ gọi chung nhớ điều khiển, đặt vỏ (chip) với xử lý trung tâm Nhờ phần cứng hoá mà ta cấu tạo họ máy tính với tập lệnh máy khác khả ứng dụng kỹ thuật giá thành đặc tính Phần cứng hố chế tạo sẵn từ nơi sản xuất Nhưng từ xuất nhớ ghi đọc nhanh, người chế tạo dành cho người sử dụng khả thay đổi mở rộng phần cứng hoá “tiêu chuẩn” Để tăng cường khả người ta lại phân chia phần cứng hoá thành phần cứng hoá với vi lệnh (mircoprogram) phần cứng hoá với siêu vi lệnh (nanoprogram) Sự phối ghép phần lúc siêu vi lệnh, vi lệnh lệnh máy thực Phần mềm Phần logic cứng Phần mềm Phần cứng hố (vi chương trình) Phần logic cứng Kỹ thuật số Phần mềm Phần cứng hoá với vi lệnh Phần cứng hoá với siêu vi lệnh Phần logic cứng Trang 63 MỤC LỤC CHƯƠNG RÚT GỌN BẢNG TRẠNG THÁI VÀ Mà HÓA TRẠNG THÁI I Rút gọn bảng trạng thái Rút gọn bảng trạng thái xác định hoàn toàn Rút gọn bảng trạng thái xác định khơng hồn tồn II Mã hoá trạng thái Sự cần thiết Mã hoá trạng thái ý đến xếp cạnh đôi trạng thái Mã hoá trạng thái dùng phân hoạch III Một số ví dụ tổng hợp hệ logic phức tạp 14 Ví dụ 14 Ví dụ 16 IV Bài tập 17 CHƯƠNG THIẾT KẾ CÁC HỆ LOGIC DÙNG MSI, LSI 20 I Dùng dồn kênh (MUX) để tạo hàm logic: 20 Bộ dồn kênh (Multiplexor) 20 Sử dụng MUX để 20 II Dùng phân kênh (DEMUX) giải mã (Decoder) 22 Bộ phân kênh giải mã (Demultiplexor) 22 Sử dụng DEMUX để 23 III Dùng ROM để thiết kế mạch tổ hợp 24 Mạch ROM / PROM 24 Sử dụng ROM / PROM: việc dùng làm nhớ MT, ta 25 IV Dùng PLA (Programmable Logic Arrays) để thiết kế mạch 26 Mảng logic lập trình – PLA 26 Sử dụng PLA 26 VII Bài tập 29 CHƯƠNG CÁC MẠCH SỐ HỌC 30 I Bộ cộng trừ nhị phân 30 Cộng trừ mã bù 30 Cộng trừ mã bù 30 II Bộ cộng trừ số liệu bit bù (số liệu bit) 30 III Bộ cộng trừ số thập phân 31 Dùng mã bù 31 Dùng mã bù 10 32 Bộ cộng trừ số hiệu bít bù 32 IV Bộ nhân nhị phân 32 Thực phép nhân 32 Nhân chia thập phân 33 V Bộ chia nhị phân 34 Ví dụ 34 Trang 64 Kỹ thuật số 2 Chia thập phân trừ .35 VI Thiết kế đơn vị số học logic (ALU) bít 36 Khối số học 36 Khối logic .37 Ghép nối hai khối số học logic 37 V Bài tập 37 CHƯƠNG 8: TỔNG HỢP CÁC HỆ THỐNG SỐ 38 I Mô tả cấu trúc hệ thống số 38 Lưu đồ ngôn ngữ trao đổi ghi (RTL) 38 Các tín hiệu điều khiển 39 Cấu trúc hệ thống 40 II Phương pháp thiết kế hệ thống số 40 Các bước 40 Tạo tín hiệu điều khiển 40 Ví dụ: Hệ thống số thực nhân số nhị phân 41 III Các dạng cấu trúc đơn vị điều khiển 42 Mạch điều khiển đơn giản .42 Đơn vị điều khiển phụ thuộc trạng thái đơn vị xử lý .45 Đơn vị điều khiển phụ thuộc lệnh đưa vào 47 IV Mơ hình máy tính số 48 Cấu trúc hoạt động máy tính số 48 Sơ đồ khối hệ thống .49 Xây dựng ma trận tạo tín hiệu điều khiển 50 Cấu trúc đơn vị điều khiển với tín hiệu điều khiển 50 V Bài tập 51 CHƯƠNG XÂY DỰNG CÁC HỆ THỐNG SỐ VỚI BỘ VI XỬ LÝ .52 I Mô hệ logic tổ hợp 52 Các phần tử logic .52 Xây dựng mạch : F = [(XY) + V] ⊕ W 52 Xây dựng mạch F = AB’C’D’EFG’H + A’BCD’EFG’H’ 53 Mô kiểm tra chẵn lẻ bit .53 II Mô hệ logic 54 Từ đồ thị trạng thái đến lưu đồ lệnh máy 54 Mô cộng nhị phân nối tiếp .57 III Xây dựng hệ thống số với vi xử lý 58 Xây dựng thiết bị đọc tệp số liệu từ băng từ vào RAM phát .58 Xây dựng mạch điều khiển đèn giao thông ngã tư .61 IV Đánh giá hệ thống số xây dựng với µP 62 Ưu nhược điểm hệ thống số thực với µP .62 Lựa chọn logic mắc dây cố định logic lập chương trình 63 Đặc điểm hệ thống số làm việc theo chương trình 63 MỤC LỤC 64 Kỹ thuật số Trang 65 ... 19, 20 , 21 , 25 , 26 , 29 , 30, 31 b f = Σ 5, 6, 7, 8, 9, 10, 14, 15, 16, 17, 18, 19, 22 , 23 , 24 , 25 , 26 , 29 , 30, 31 Thực hàm Boole biến f = Σ 0, 1, 3, 5, 7, 12, 14, 16, 18, 20 , 22 , 26 , 28 , 30, 32, ... Trang 22 Kỹ thuật số Vcc 1E 1C A B 16 1Y0 1Y1 1Y2 1Y3 2Y0 10 2Y1 11 2Y2 12 2Y3 13 2C 14 2E 15 DX 74155 1C A x 0 1 A x 0 1 B 1E 1C 1Y0 1Y1 1Y2 1Y3 1E x x 1 1 0 1 1 1 1 0 1 1 1 1 B 2E 2C 2Y0 2Y1 2Y2... c, d, e ) 1 ,2 1 ,2 1 ,2 c d P2 ( a b ) ( c d e ) c P4 ( a b) 1,3 1,3 d g h) (f 1,1 1,1 1 ,2 1 ,2 1 ,2 1 ,2 1 ,2 0 1,3 1,3 2, 3 (f e g h) 2, 3 2, 3 2, 3 d e f (c d) e (f g 1,4 1,4 2, 4 h) 2, 4 2, 4 2, 4 Có nhóm

Ngày đăng: 18/04/2019, 22:36

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan