THIẾT kế lõi IP CỨNG THỰC HIỆN FFT và IFFT CHO IEEE 802 11 (có code)

61 204 1
THIẾT kế lõi IP CỨNG THỰC HIỆN FFT và IFFT CHO IEEE 802 11 (có code)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

ĐỒ ÁN TỐT NGHIỆP THIẾT KẾ LÕI IP CỨNG THỰC HIỆN FFT IFFT CHO IEEE 802.11 DANH MỤC CÁC TỪ VIẾT TẮT IEEE Institute of Electrical and Electronics Engineers MAC Medium Access Control FFT Fast Fourier Transform IFFT Inverse Fast Fourier Transform DFT Discrete Fourier Transform IDFT Inverse Discrete Fourier Transform LAN Local Area Network WLAN Wireless Local Area Network OFDM Orthogonal Frequency Division Multiplexing WIFI Wireless Fidelity FEC Forward Error Correcting BIT Binary Digit FPGA Field-programmable gate array VHDL VHSIC Hardware Description Language IP Intellectual Property CAD Computer Aided Design VLSI Very Large Scale Integration RTL Register-transfer Level VBE VHDL Behavioral VST VHDL Structural VASY VHDL Analyzer for (RTL) Synthesis BOOM BOOlean Minimization BOOG Binding and Optimizing On Gates DRC Design Rule Check LVS Layout Versus Schematic CMOS Complementary Metal Oxide Semiconductor ĐỒ ÁN TỐT NGHIỆP Trang 3/57 CHƯƠNG TỔNG QUAN VỀ LÍ THUYẾT 1.1 Giới thiệu tổng quan 1.1.1 Giới thiệu IEEE 802.11 Dựa vào phát triển xã hội nhu cầu truyền nhận thông tin cá nhân tổ chức xã hội viện nghiên cứu kĩ thuật điện điện tử Mỹ tiến hành cho hàng loạt chuẩn liên quan tới mạng LAN có nhóm 802.11, nhóm định nghĩa thiết lập tầng vật lí lớp MAC hệ thống mạng WLAN Chuẩn IEEE 802.11 đời vào năm 1997 sử dụng trải phổ chủ yếu băng tầng dành cho lĩnh vực khoa học, y học công nghiệp WLAN hệ thống mạng khơng dây mà máy tính giao tiếp liệu qua lại truyền nhận tín hiệu sóng vơ tuyến Thường máy trạm dùng chuẩn IEEE 802.11 nhóm lại với thành mơ hình mạng kiểu khơng có điều khiển trung tâm khơng có kết nối bên ngồi tức có nghĩa có mạng hình thành tức thời thiết bị gần nhau, muốn trao đổi liệu với mà không cần thiết phải tìm sở hạ tầng mạng có sẵn chỗ Giới thiệu sơ lược số chuẩn 802.11 bản: • Chuẩn 802.11b chuẩn mở rộng chuẩn 802.11 nguyên sử dụng kĩ thuật điều chế khóa mã bù kĩ thuật trải phổ trực tiếp Đặc điểm chuẩn rẻ, có độ phủ sóng cao khó bị che khuất nhiên lại dễ bị nhiễu tốc độ không nhanh • Chuẩn 802.11a dựa 802.11 hoạt động tần số cao sử dụng kĩ thuật trải phổ OFDM Đặc điểm có chi phí mắc, khó xun qua vật cản mơi trường, phạm vi phủ sóng có giới hạn nhiên lại có tốc độ truyền nhanh, bị nhiễu • Chuẩn 802.11g chuẩn tạo dựa vào suy nghĩ kết hợp hai chuẩn 802.11a 802.11b Đặc điểm tốc độ cao, độ phủ sóng tốt, khó bị Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 4/57 cản giá thành cao bị nhiễu dụng cụ điện khu vực truyền dẫn • Chuẩn 802.11n có tốc độ truyền nhanh, độ phủ rộng cho chất lượng tốt tương thích với chuẩn trước Ngoại trừ chuẩn 802.11b sử dụng kĩ thuật khác chuẩn lại 802.11 sử dụng phương thức điều chế OFDM Bảng 1-1: Các chuẩn 802.11 [3] Năm 802.11a phê Tháng 7/1999 chuẩn Tốc độ tối đa Điều chế Dải tần số RF 54 Mbps OFDM GHz Độ rộng băng 20 MHz 802.11b Tháng 7/1999 11 Mbps DSSS 802.11g Tháng 6/2003 54 Mbps hay OFDM 802.11n 2009 300 Mbps hay OFDM hay CCK DSSS 2.4 GHz CCK 2.4 GHz CCK GHz hay 2.4 20 MHz GHz 20 MHz hay 20 MHz thông hay DSSS hay 40 MHz 1.1.2 Giới thiệu OFDM vai trò FFT/IFFT IEEE 802.11 OFDM giải pháp kỹ thuật đề xuất cho chuẩn mạng LAN khơng dây truyền dẫn băng rộng khắc phục vấn đề phản xạ đa đường chống nhiễu truyền thơng tin khu vực có nhiều người sử dụng hay nhiễu tạo lên từ vật dụng điện tử khu vực Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 5/57 Hình 1-1: Sơ đồ khối thu phát WLAN theo chuẩn a, g [3] Nguyên tắc hoạt động OFDM liệu thường định nghĩa miền tần số mã hóa để truyền liệu nhanh sau chia thành nhiều dòng liệu song song có tốc độ thấp xếp lại thành trình tự hỗn hợp kí tự truyền tới IFFT Ở khối tín hiệu tính tốn mẫu thời gian tương ứng với kênh nhánh miền tần số tiếp qua giai đoạn tính tốn chèn liệu khối phía thu lúc tín hiệu đưa tần số thấp dạng tín hiệu rời rạc lúc qua khối tín hiệu chuyển đổi từ miền thời gian sang miền tần số khối FFT Sau qua tiếp số khối điều chế ta thu liệu cần thiết Kĩ thuật điều chế đa sóng mang OFDM cho phép liệu truyền song song nhờ vơ số sóng mang phụ mang bit thơng tin Điều khiến chống nhiễu kí tự sử dụng băng thơng hiệu lại u cầu có máy phát sóng sin để phát sóng mang, ngồi cần có điều chế giải điều chế riêng nó, điều mang tính bất khả thi số lượng sóng mang phụ nhiều ta thi công hệ thống để khắc phục vấn đề người ta sử Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 6/57 dụng thuật toán DFT IDFT thay vai trò điều chế giải điều chế tạo dao động sóng sin kênh phụ Phép biến đổi DFT IDFT có thời gian thực bao gồm thời gian thực phép nhân phức, cộng phức, thời gian đọc hệ số thời gian truyền số liệu.Trong việc thực phép nhân phức tốn thời gian nhiều FFT IFFT thuật toán làm cho phép biến đổi DFT IDFT nhanh, gọn Thay thực phép nhân N DFT FFT thực số phép nhân Ngồi FFT giúp tiết kiệm nhớ phép tính thực chỗ 1.2 Phép biến đổi FFT IFFT 1.2.1 Sơ lược DFT IDFT DFT phép biến đổi chuỗi Fourier rời rạc tạo để giúp chuyển đổi tín hiệu x(n) từ miền thời gian sang dạng tín hiệu X(k) miền tần số Ta có cơng thức DFT là: X(k)= (1.1) (1.2) Trong k từ tới N-1 Còn phép biến đổi IDFT ngược lại giúp chuyển đổi phổ tín hiệu X(k) sang tín hiệu x(n) miền thời gian có cơng thức là: x(n)= (1.3) Trong n từ tới N-1 1.2.2 Sơ lược FFT IFFT Thuật toán biến đổi Fourier nhanh (FFT) thuật toán cải tiến phép biến đổi DFT chuỗi có N điểm Có nhiều phương pháp thực thuật toán với loại ưu nhược điểm khác phương pháp thực FFT số 2,cơ số 4,cơ số nhiều loại khác Trong số số thích hợp với cơng nghệ thiết kế mạch tích hợp tính tương thích Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 7/57 tốc độ cách xây dựng thuật toán số nhanh số nhiều tới bốn bội số tiết kiệm khoảng 33% phép tính tốn đồ án FFT IFFT xây dựng theo số 1.2.3 Bộ FFT/IFFT số Dù phát triển từ DFT cơng thức có phần khác so với cơng thức gốc kết đảm bảo Cơng thức chính: X(p,q)= (1.4) X(Mp + q)= (1.5) F(l,q)= (1.6) x(Mp + q)= (1.7) = (1.8) FFT số 4: IFFT số 4: Trong đó: • N=L * M • n ; p ; q = - 1; m 1.3 Lõi IP Lõi IP lõi sở hữu trí tuệ Là phần cơng nghiệp hóa đại hóa nhờ khả tái sử dụng thiết kế, gồm hai dạng lõi cứng để mơ tả vật lí tức phần thực thi KIT DE2 lõi mềm tức dạng ngôn ngữ lập trình, cổng logic Trong thiết kế này, ta định nghĩa lõi IP cứng có nghĩa khối logic hay khối liệu tái sử dụng lại đơn vị logic, mạch tích hợp Các lõi IP thường sử dụng tương tự khối xây dựng mạch tích Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 8/57 hợp cho thiết kế FPGA lõi IP cứng có định nghĩa chung lõi sửa lỗi sai hay cập nhật lập trình lại thêm tính mới, lõi sử dụng cho vấn đề định Ưu điểm lõi IP cứng thường cho dự đoán kết tốt hiệu xuất mạch tích hợp miền thời gian khu vực Hình 1-2: Mơ hình lõi IP • Chân reset đưa tín tất tín hiệu dạng ban đầu tức khơng có để nạp lại cần thiết dùng để ổn định mạch • Chân u có hai trạng thái FFT u mức IFFT u mức • Chân d_in d_out để hỗ trợ điều chỉnh cho việc quan sát ngõ vào lõi IP • Chân clock để cấp xung clock tay cho lõi để quan sát giá trị Data_out • data_in giá trị ngõ vào dạng nhị phân từ 26 tới 2-2 • Data_out quan sát giá trị ngõ tức kết lõi IP dạng nhị phân từ tới 2-2 phần thực phần ảo 1.1 Thiết kế vật lí dùng cơng nghệ CMOS 1.1.1 Giới thiệu CMOS Thơng thường thiết kế vật lí CMOS thiết kế miếng silicon wafer tức đĩa nhỏ mỏng làm từ silic kĩ thuật in thạch Một thiết kế CMOS gồm có lớp polysilicon tức silic đa tinh thể nối cổng với nhau, dây dẫn kim loại giúp kết nối khối bới nhau, tiếp xúc điểm giúp kết nối lớp Layer lại với Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 9/57 Cơng nghệ CMOS có hai loại transistor NMOS PMOS hoạt động dựa trường điện Mỗi transistor gồm chồng (stack) có cổng (gate), có miền bán dẫn kế bên cổng nguồn (source) máng (drain), có lớp cách điện silicon dioxide (SiO2) dạng thủy tinh silicon wafer hay gọi substrate, body, bulk tức phần thân Vì transistor NMOS có phần thân nối đất nên cổng nối đất transistor tắt nối nguồn mở transistor PMOS ngược lại Ngồi kết hợp transistor PMOS NMOS ta cổng đảo, yếu tố CMOS Hình 1-3: Kí hiệu transistor NMOS, PMOS CMOS [4] Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 10/57 Hình 1-4: Mặt cắt ngang cổng đảo [4] Đây ví dụ thiết kế layout chế tạo CMOS Như ta thấy cổng đảo thiết kế phần thân p transistor PMOS có thân riêng loại n khuếch tán vào phần thân p Kí hiệu A đại diện cho ngõ vào nối với silic đa tinh thể thông thường miền nguồn NMOS nối với dây kim loại đất PMOS nói với dây kim loại nguồn Tương tự với khuếch tán loại p+ n+.Còn máng nối với tạo thành dây kim loại tạo thành ngõ Y 1.1.2 Qui luật thiết kế Có hai qui luật thiết kế layout hệ số lambda qui luật tuyệt đối Qui luật tuyệt đối sử dụng kích thước cố định thường phần mềm hỗ trợ thiết kế công nghệ thực hãng tự qui định, hệ số lambda thường tính từ nửa chiều dài transistor Tức thiết kế CMOS 0.5*10 -6 mét đề tài chiều dài transistor 1*10 -6 mét sau trình bày số khoảng qui định thiết kế layout công nghệ CMOS Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 47/57 Hình 4-15: Kiểm tra LVS báo thiết kế Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 48/57 CHƯƠNG NHẬN XÉT KẾT LUẬN 1.11 Nhận xét Sau trình thực đồ án thiết kế lõi IP em thấy rõ việc khó khăn việc sử dụng phần mềm hỗ trợ cho công đoạn thiết kế layout phần mềm chuyên dụng cho việc thiết kế mà để phục vụ việc tìm hiểu giảng dạy, việc tìm hiểu tài liệu phức tạp tài liệu đại trà Tuy nhiên việc thực đồ án trao cho thân nhiều kinh nghiệm hứng thú việc nghiên cứu tìm hiểu cơng nghệ thiết kế vi mạch vai trò kiến thức giảng dạy mái trường Đại học thực tế 1.12 Kết luận Việc thực đồ án giúp hiểu phần nhỏ vai trò thuật tốn FFT IFFT giảng dạy chương trình đào tạo từ xây dựng thành mơ hình thực thi quan sát kết KIT DE2 việc thực hóa ngơn ngữ lập trình VHDL trình học tập Đề tài giúp củng cố kiến thức giảng dạy trao dồi kĩ đào tạo trình học tập rèn luyện trường 1.13 Hướng phát triển Từ việc thiết kế từ số để mô tả lõi IP cứng thực FFT IFFT cho điểm mà từ phát triển lên thành mơ 16 điểm, 64 điểm nhiều điểm Hay từ mà tạo để xây dựng lõi IP khác số khác số số Cũng sử dụng phần mềm chuyên nghiệp để hỗ trợ cho trình thực thi thiết kế layout Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 49/57 TÀI LIỆU THAM KHẢO Tiếng Việt: [1] Nguyễn Thành Kiên (2009), Thiết kế nhờ máy tính, Bộ mơn kĩ thuật máy tính, Khoa cơng nghệ thơng tin, Đại học Bách Khoa Hà Nội [2] Trương Thị Như Quỳnh, Võ Thị Phương Thảo, Hoàng Trọng Thức, Lê Đức Hùng (2016) Thiết kế FFT 2048-điểm FPGA dựa thuật toán CORDIC xoay góc thích nghi với độ xác dấu chấm động đơn Trường Đại Học khoa học Tự nhiên, Đại Học Quốc Gia, Thành phố Hồ Chí Minh Tr 172-178 [3] Hồng Đình Bảng, Nguyễn Gia Huy, Trần Thị Liên, Nơng Thị Mai, Hà Thị Thùy, Hoàng Thị Yến, Phan Văn Sơn (2014) Ứng dụng kĩ thuật OFDM WLAN, Khoa CNĐT&TT, Trường Đại học Công nghệ thông tin truyền thông Thái Nguyên [4] Tống Văn On (2007), Thiết kế vi mạch CMOS VLSI Tr 1-56 Tiếng Anh: [5] Kumar Palaniappan and Tun Zainal Azni Zulkifli (2007), Design of 16-point Radix-4 Fast Fourier Transform in 0.18µm CMOS TechnologySiva, RFIC Design Group, Faculty of Electrical and Electronics Engineering, Universiti Sains Malaysia Engineering Campus, 14300 Nibong Tebal, Seberang Perai Selatan, Penang, Malaysia Pp 570-575 [6] Eliezer Ben Zeev, Yaron Zolar, Avi Gal, Erez Steinberg, Kazuhiko Enosawa, and Ed Martinez (2007) Efficient radix-4 FFT on StarCore SC3000 DSPs, pp 1-4 [7] Carlos Silva cardenas, Takeo Yoshida, Alberto Palacios Pawlovsky (2006), “Introduction to VLSI CMOS Circuits Design” Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 50/57 PHỤ LỤC A Code lõi library ieee; use ieee.std_logic_1164.all; use work.fft_pck.all; use work.fixed_float_types.all; use work.fixed_pkg.all; use work.float_pkg.all; entity canhbuom is port( u: in std_logic; c0,c1,c2,c3 : in complex; inputs w1,w2,w3,iw1,iw2,iw3 :in complex; phase factor g0,g1,g2,g3 :out complex outputs ); end canhbuom; architecture Behavioral of canhbuom is constant w14: complex := ("000000000", "111111100"); w14 = -j -constant w24: complex := ("111111100", "000000000"); w24 = -1 -constant w34: complex := ("000000000", "000000100"); w34 = j Thiết Kế Lõi IP Cứng Thực Hiện FFT IFFT Cho IEEE 802.11 ĐỒ ÁN TỐT NGHIỆP Trang 51/57 constant iw14: complex := ("000000000", "000000100"); iw14 = j -constant iw24: complex := ("111111100", "000000000"); w24 = -1 -constant iw34: complex := ("000000000", "111111100"); w34 = -j -constant iw14: complex := ("00000000000000000", "00000000000100000"); -c0= -j -constant n: complex := ("000000001","000000000"); c0= 0.25 2^-12 right_side -signal a,b,c,d: complex; begin canh buom process(c0,c1,c2,c3,w1,w2,w3,u,iw1,iw2,iw3) begin if (u = '1') then IFFT g0 led_in, led_out => led_out ); stim_proc: process begin u

Ngày đăng: 22/03/2019, 20:32

Mục lục

  • DANH MỤC CÁC TỪ VIẾT TẮT

  • 1.2 Phép biến đổi FFT và IFFT

    • 1.2.1 Sơ lược về DFT và IDFT

    • 1.2.2 Sơ lược về FFT và IFFT

    • 1.2.3 Bộ FFT/IFFT cơ số 4

    • 1.1 Thiết kế vật lí dùng công nghệ CMOS

      • 1.1.1 Giới thiệu về CMOS

      • 1.1.2 Qui luật thiết kế cơ bản

      • CHƯƠNG 1. CÔNG NGHỆ THỰC HIỆN THIẾT KẾ

        • 1.2 Qui trình thiết kế vi mạch

        • 1.3 Chương trình thực hiện mô phỏng

          • 1.1.3 Giới thiệu về công nghệ FPGA

          • 1.1.6 Chương trình mô phỏng ModelSim

          • 1.1.8 Phần mềm thiết kế Layout

          • CHƯƠNG 2. THỰC THI THIẾT KẾ VÀ TỔNG HỢP LOGIC

            • 1.4 Bộ FFT và IFFT cơ số 4

            • 1.5 Mô phỏng bằng ModelSim

            • 1.6 Thực thi trên KIT DE2

            • CHƯƠNG 3. THỰC THI THIẾT KẾ LAYOUT

              • 1.7 Chuyển đổi ngôn ngữ

              • 1.8 Ánh xạ thiết kế

              • 1.10 Kiểm tra thiết kế

              • TÀI LIỆU THAM KHẢO

Tài liệu cùng người dùng

Tài liệu liên quan