Thiết kế và lắp ráp hệ điều khiển vị trí động cơ không đồng bộ xoay chiều 3 pha dùng FPGA (tt)

26 217 0
Thiết kế và lắp ráp hệ điều khiển vị trí động cơ không đồng bộ xoay chiều 3 pha dùng FPGA (tt)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC ĐÀO TẠO ĐẠI HỌC ĐÀ NẴNG ĐOÀN PHƢỚC TRIỀU THIẾT KẾ LẮP RÁP HỆ ĐIỀU KHIỂN VỊ TRÍ ĐỘNG KHƠNG ĐỒNG BỘ XOAY CHIỀU PHA DÙNG FPGA Chuyên ngành : Kỹ thuật điều khiển tự động hóa Mã số: 60.52.02.16 TĨM TẮT LUẬN VĂN THẠC SĨ KỸ THUẬT Đà Nẵng - Năm 2015 Cơng trình đƣợc hồn thành ĐẠI HỌC ĐÀ NẴNG Ngƣời hƣớng dẫn khoa học: PGS.TS ĐOÀN QUANG VINH Phản biện 1: TS LÊ TIẾN DŨNG Phản biện 2: TS NGUYỄN HOÀNG MAI Luận văn đƣợc bảo vệ Hội đồng chấm luận văn tốt nghiệp Thạc sĩ kỹ thuật họp Đại học Đà Nẵng vào ngày 13 tháng 12 năm 2015 * thể tìm hiểu luận văn tại: - Trung tâm Thông tin học liệu, Đại học Đà Nẵng MỞ ĐẦU Lý chọn đề tài Sự bùng nổ tiến khoa học lĩnh vực điện - điện tử tin học thay đổi sâu sắc mặt lý thuyết lẫn thực tế lĩnh vực truyền động điện tự động Trƣớc hết phải kể đến đời ngày hoàn thiện biến đổi điện tử cơng suất, với kích thƣớc gọn nhẹ, độ tác động nhanh cao, dễ dàng ghép nối với mạch điều khiển dùng mach vi điện tử, vi xử lý…Các hệ truyền động điện tự động ngày thƣờng sử dụng nguyên tắc điều khiển vector cho động xoay chiều Phần lớn mạch điều khiển dùng kỹ thuật số với chƣơng trình phần mềm linh hoạt, dễ dàng thay đổi cấu trúc tham số luật điều khiển, làm tăng độ xác hiệu suất cho hệ truyền động FPGA nhiều ƣu điểm vƣợt trội so với cơng nghệ khác kể chi phí, lợi việc canh tranh với thị trƣờng FPGA viết tắt cụm từ tiếng anh Field -Programmable Gate Array (mảng cổng lập trình đƣợc dạng trƣờng) loại vi mạch tích hợp dùng cấu trúc mảng phần tử logic mà ngƣời dùng lập trình đƣợc Xuất phát từ vấn đề thực tế nêu trên, chọn đề tài luận văn tốt nghiệp thạc sĩ: “Thiết kế lắp ráp hệ điều khiển vị trí động khơng đồng xoay chiều pha dùng FPGA” Ý nghĩa khoa học thực tiễn đề tài a Ý nghĩa khoa học Đề tài xây dựng hệ thống điều khiển kín, phản hồi tốc độ, sử dụng điều khiển PID số để điều khiển ổn định vị trí động khơng đồng xoay chiều ba pha rotor lồng sóc theo phƣơng pháp vector không gian sử dụng FPGA b Ý nghĩa thực tiễn Hệ thống cho phép sử dụng hiệu quả, nâng cao chất lƣợng động khơng đồng hệ thống truyền động điện nhà máy công nghiệp Mục tiêu đề tài Trong thực tế, tốc độ động bị ảnh hƣởng yếu tố bên ngồi, thƣờng tải, tốc độ động thƣờng không đạt tốc độ nhƣ mong muốn ngƣời điều khiển Từ thực tế đó, đề tài nhằm mục tiêu xây dựng hệ thống biến tần điều khiển động không đồng xoay chiều ba pha với vị trí động đƣợc điều khiển bám theo tín hiệu đặt mong muốn trƣờng hợp khơng tải tải Nội dung đề tài - Tìm hiểu động khơng đồng xoay chiều ba pha rotor lồng sóc - Xây dựng mơ hình tốn học động khơng đồng xoay chiều ba pha rotor lồng sóc - Tìm hiểu phƣơng pháp điểu khiển vector, điều khiển động dựa sở điều chế vector không gian - Xây dựng cấu trúc hệ truyền động điều khiển vị trí động khơng đồng xoay chiều ba pha - Tổng hợp tồn hệ thống - Mơ hệ thống Matlab Simulink thiết kế phần cứng, lập trình cho hệ thống Bố cục đề tài Dự kiến bố cục luận văn chƣơng: LỜI MỞ ĐẦU CHƢƠNG Tổng quan động không đồng xoay chiều pha rotor lồng sóc CHƢƠNG Biến tần hệ thống biến tần - động khơng đồng xoay chiều pha lồng sóc CHƢƠNG Điều khiển vị trí động khơng đồng xoay chiều pha rotor lồng sóc CHƢƠNG Sử dụng FPGA thiết kế điểu khiển vị trí động không đồng xoay chiều pha Đối tƣợng phƣơng pháp nghiên cứu a Đối tượng nghiên cứu - Động không đồng xoay chiều ba pha rotor lồng sóc (IM) - Hệ thống biến tần - Phƣơng pháp điều khiển vector tựa theo từ thơng rotor - FPGA, lập trình điều khiển PID số - Matlab Simulink b Phương pháp nghiên cứu - Nghiên cứu lý thuyết, tìm hiểu tài liệu liên quan đến đề tài, xây dựng đƣợc nội dung cần trình bày luận văn - Tính tốn dựa lý thuyết đƣa hệ thống điều khiển - Mô kết đạt đƣợc Matlab Simulink - Thiết kế phần cứng dựa mơ hình tính tốn đƣợc CHƢƠNG TỔNG QUAN ĐỘNG KHÔNG ĐỒNG BỘ XOAY CHIỀU PHA ROTOR LỒNG SĨC 1.1 KHÁI QT CHUNG 1.2 MƠ HÌNH TỐN HỌC CỦA ĐỘNG KHÔNG ĐỒNG BỘ XOAY CHIỀU BA PHA 1.2.1 Vector không gian hệ tọa độ từ thông 1.2.2 Mơ hình tốn học động 1.2.3 Mơ hình trạng thái liên tục ĐCKĐB rotor lồng sóc hệ tọa độ   disa  1  1 1     r   r  u s  isa   dt  T  T  T   Ls  s r    di  1  1 1  sb      r   r  us  is   T  Tr  Ls  dt   Ts  Tr    d r  i       s r r  dt Tr Tr   d r 1  is    r   r  dt T T  r r (1.19) 1.2.4 Mơ hình trạng thái liên tục ĐCKĐB rotor lồng sóc hệ tọa độ từ thông rotor (tọa độ dq)  disd  1  1 1     rd   rq  usd  isd  sisq   dt  T  T  T   Ls  s r    di  1  1 1  sq  s isd     rd   rq  usq  isq  T  Tr  Ls  dt   Ts  Tr   1  d rd    dt  T isd  T  rd  (s   ) rq r r  1  d rd  dt  T isq  (s   ) rd  T  rq  r r (1.23) 1.3 MÔ PHỎNG ĐỘNG KHÔNG ĐỒNG BỘ BA PHA TRÊN MƠ HÌNH MATLAB - SIMULINK 1.3.1 Mơ hình mơ hệ tọa độ  Hình 1.3 Mơ hình mô ĐCKĐB hệ  Kết mô 1.3.2 Mơ hình mơ hệ tọa độ dq Hình 1.12 Mơ hình mơ ĐCKĐB hệ dq Kết luận: Với phần sở lý thuyết trình bày mục 1.1 1.2, tiến hành mô Matlab - Simulink, ta thấy thống số đầu hai mơ hình hai tọa độ  dq nhƣ Quá trình khởi động ban đầu khơng tải sau giây tốc độ động đƣợc xác lập Sau khoảng thời gian 1,5 giây ta đóng tải tốc độ từ thơng động giảm, momen dòng điện tăng lên giá trị định Các đƣờng đặc tính kết xác phù hợp với thực tế CHƢƠNG HỆ THỐNG BIẾN TẦN - ĐỘNG XOAY CHIỀU BA PHA 2.1 HỆ THỐNG BIẾN TẦN - ĐỘNG XOAY CHIỀU BA PHA 2.1.1 Hệ thống biến tần nguồn áp - động ba pha rotor lồng sóc 2.1.2 Hệ thống biến tần nguồn dòng - động ba pha rotor lồng sóc 2.2 BIẾN TẦN - ĐỘNG THEO PHƢƠNG PHÁP ĐIỀU CHỈNH ĐỘ RỘNG XUNG (PWM) Hình 2.14 Bộ biến tần điều chỉnh độ rộng xung Mơ hình hệ thống biến tần - động xoay chiều pha theo phƣơng pháp PWM xây dựng Matlab - Simulink Hình 2.16 Mơ hình PWM Matlab - Simulink Kết mơ 80 60 40 Ampe 20 -20 -40 -60 -80 0.05 0.1 0.15 0.2 0.25 Time 0.3 0.35 0.4 0.45 0.5 Hình 2.13 Dòng điện Stator khởi động động ổn định động Hình 2.14 Đặc tính tốc độ momen động Nhận xét Với phƣơng pháp điều chế độ rộng xung nhƣ trên, ta điều tốc độ động dễ dàng cách điều chỉnh tần số sóng Sin, đáp ứng đƣợc yêu cầu điều khiển tốc độ Tuy nhiên nhiễu sóng hài bậc cao từ phƣơng pháp lớn, ta hạn chế cách lọc nhiễu tăng tần số xung cƣa Ngoài hiệu suất phƣơng pháp chƣa cao 2.3 BIẾN TẦN - ĐỘNG THEO PHƢƠNG PHÁP VECTOR KHÔNG GIAN 2.3.1 Nguyên lý hoạt động 2.3.2 Khái niệm vector không gian phép chuyển vector không gian 2.3.3 Điều chế vector không gian 10 Nhận xét: Phƣơng pháp điều chế vector không gian phƣơng thức thay vector điện áp ba pha đối xứng thành vector quay khơng gian Nhƣ thay phải tính tốn ba pha ta cần tính tốn hệ trục hai pha theo độ lớn góc pha đại lƣợng vector quay Hay nói cách khác, thời gian đóng mở van đƣợc tính tốn hợp lý Đây phƣơng pháp tính đại, phƣơng pháp giá trị điện áp tốt dạng sóng dòng điện tải gần Sin phƣơng pháp Chất lƣợng điều khiển tốt so với phƣơng pháp PWM 2.4 KẾT LUẬN CHƢƠNG Chƣơng giới thiệu tổng quan biến tần hệ thống biến tần - động cơ, bên cạnh chƣơng trình bày hai phƣơng pháp điều chế độ rộng xung PWM phƣơng pháp điều chế vector Hai phƣơng pháp đƣợc mô Matlab - Simulink Mỗi phƣơng pháp điều ƣu nhƣợc điểm khác Trong hai phƣơng pháp, phƣơng pháp điều biến độ rộng xung dễ điều khiển, khơng cần phải tính tốn phức tạp Tuy nhiên chất lƣợng điều khiển không cao, hiệu suất không phƣơng pháp điều chế vector không gian CHƢƠNG ĐIỀU KHIỂN VỊ TRÍ ĐỘNG KHƠNG ĐỒNG BỘ BA PHA 3.1 TỔNG QUAN VỀ HỆ ĐIỀU CHỈNH VỊ TRÍ 3.1.1 Hệ điều chỉnh vị trí tuyến tính 3.1.2 Điều chỉnh vị trí tối ƣu theo thời gian 3.1.3 Hệ truyền động điều khiển vị trí làm việc chế độ bám 11 3.2 HỆ THỐNG ĐIỀU CHỈNH VỊ TRÍ ĐỘNG XOAY CHIỀU BA PHA THEO PHƢƠNG PHÁP PWM Hệ điều chỉnh vị trí vòng điều khiển, vòng điều khiển tốc độ điều khiển vị trí Vòng điều khiển tốc độ nằm Tín hiệu đặt cho điều khiển vị trí  bao gồm vị trí chiều Tín hiệu điều khiển vị trí tín hiệu đặt cho điều khiển tốc độ Hai tín hiệu vị trí tốc độ đặt đƣợc so sánh với tín hiệu tốc độ vị trí thực nhận từ cảm biến Encoder Hình 3.12 Hệ thống truyền động điều chỉnh vị trí 3.2.1 Điều khiển điện áp - tần số khơng đổi 3.2.2 Xây dựng hệ điều khiển vị trí Matlab - Simulink a Điều khiển tốc độ b Điều khiển vị trí Hình 3.18 Mơ hình điều khiển vị trí 12 Kết mơ Toc rad/s 200 -200 0.5 1.5 2.5 Time Momen 3.5 4.5 0.5 1.5 2.5 Time Vi trí 3.5 4.5 0.5 1.5 2.5 Time 3.5 4.5 N*m 200 -200 rad 100 -100 Hình 3.19 Vị trí đặt rotor 50 rad Toc rad/s 200 -200 0.5 1.5 0.5 1.5 2.5 Time Momen 3.5 4.5 2.5 3.5 4.5 3.5 4.5 N*m 200 -200 rad Vi tri 40 20 -20 -40 0.5 1.5 2.5 Time Hình 3.20 Vị trí đặt rotor 30 rad Nhận xét: Kết đồ thị ta thấy vị trí động đƣợc đáp ứng với giá trị đặt Sau thời gian khoảng giây vị trí đƣợc xác lập Thử nghiệm tải tác động đến vị trí, khoảng thời gian giây, tải tác động vào động cơ, vị trí bị thay đổi nhƣng sau 0.5 giây vị trị đƣợc điều chỉnh lại vị trí ban đầu 3.3 HỆ THỐNG ĐIỀU CHỈNH VỊ TRÍ ĐỘNG XOAY CHIỀU BA PHA THEO PHƢƠNG PHÁP ĐIỀU KHIỂN VECTOR 3.3.1 Tuyến tính hóa mơ hình ĐCKĐB 3.3.2 Tổng hợp điều chỉnh tốc độ 13 3.3.3 Tổng hợp điều chỉnh vị trí 3.3.4 Mơ hệ thống điều khiển vị trí động khơng đồng pha rotor lồng sóc theo phƣơng pháp điều khiển vector xây dựng Matlab - Simulink a Điều khiển tốc độ b Điều khiển vị trí Hình 3.30 Mơ hình điều khiển vị trí Kết mơ Momen N*m 500 -500 0.5 1.5 2.5 3.5 4.5 0.5 1.5 2.5 Vi tri 3.5 4.5 0.5 1.5 2.5 Time 3.5 4.5 vong/phut 200 -200 Rad Hình 3.31 Vị trí đặt rotor  rad 14 Momen N*m 500 -500 0.5 1.5 2.5 Toc 3.5 4.5 0.5 1.5 2.5 Vi tri 3.5 4.5 0.5 1.5 2.5 Time 3.5 4.5 Vong/phut 1000 -1000 Rad 40 20 Hình 3.32 Vị trí đặt 30 rad Nhận xét: Vị trí rotor đáp ứng tốt với vị trí đặt  rad 30 rad Trong mơ phỏng, sau giây tiến hành đóng tải để làm sai lệch vị trí Sau khoảng thời gian giây vị trí rotor đƣợc điều chỉnh trở lại vị trí đặt ban đầu nhanh xác Với hai phƣơng pháp điều khiển tốc độ vị trí theo phƣơng pháp PWM điều khiển Vector kết đáp ứng xác với giá trị đặt ban đầu Tuy nhiên, nhƣợc điểm phƣơng pháp PWM gây nhiễu, sóng hài bậc cao nhiều Cụ thể, phân tích phổ sóng hai ta thấy rõ hai biểu đồ sau: Iabc signal: 150 cycles FFT window (in red): cycles 50 -50 0.5 Time (s) 1.5 2.5 Mag (% of Fundamental) Fundamental (50Hz) = 72.76 , THD= 24.83% 20 15 10 0 100 200 300 400 500 600 Frequency (Hz) 700 800 900 1000 Hình 3.33 Phân tích sóng hài phương pháp PWM 15 Iabc signal: 250 cycles FFT window (in red): cycles 400 200 -200 -400 0.5 1.5 2.5 Time (s) 3.5 4.5 800 900 Fundamental (50Hz) = 279.2 , THD= 87.24% Mag (% of Fundamental) 80 60 40 20 0 100 200 300 400 500 600 Frequency (Hz) 700 1000 Hình 3.34 Phân tích sóng hài phương pháp điều khiển Vector 3.4 KẾT LUẬN CHƢƠNG Chƣơng trình bày số vấn đề hệ điều chỉnh vị trí động xoay chiều ba pha; điều chỉnh tốc độ, vị trí động ba pha theo hai phƣơng pháp điều chế độ rộng xung PWM điều khiển vector Qua trình khảo sát hai phƣơng pháp phƣơng pháp điều khiển vector tỏ ƣu điểm Quan sát đồ thị, ta thấy vị trí, momen tốc độ áp đặt nhanh, xác Khả chịu tải tốt CHƢƠNG SỬ DỤNG FPGA LABVIEW THIẾT KẾ BỘ ĐIỂU KHIỂN VỊ TRÍ ĐỘNG KHÔNG ĐỒNG BỘ XOAY CHIỀU PHA 4.1 TỔNG QUAN VỀ FPGA 4.1.1 FPGA gì? 4.1.2 Ứng dụng FPGA 4.1.3 Quy trình thiết kế FPGA 4.1.4 Giới thiệu khối chức Kit FPGA SPARTAN 3E 4.2 TỔNG QUAN VỀ LABVIEW 4.2.1 LabVIEW gì? 4.2.2 Các tính LabVIEW 16 4.2.3 Các bƣớc tạo project labview cho FPGA SPARTAN 3E 4.2.4 Các khối LabVIEW 4.3 MƠ HÌNH ĐIỀU KHIỂN TỐC ĐỘ VỊ TRÍ ĐỘNG XOAY CHIỀU PHA SỬ DỤNG FPGA Hình 4.27 Mơ hình điều khiển tốc độ vị trí động pha Tín hiệu điều khiển đƣợc đặt bảng điều khiển LabVIEW từ máy tính đƣợc gửi đến FPGA, FPGA xử lý tín liệu phát xung kích thông qua mạch cách lý để đến nghịch lƣu (chùm xung kích đƣợc điều chế theo phƣơng pháp PWM) Tín hiệu tốc độ vị trí từ Encoder đƣợc gửi đến FPGA thông qua mạch đọc Encoder FPGA so sánh tín hiệu đặt tín hiệu thực tế để điểu khiển chùm xung kích xác, đáp ứng yêu cầu đề ngƣời điều khiển 4.3.1 Thiết kế phần cứng a Bộ chỉnh lưu Với chỉnh lƣu cầu Diode hệ số nhấp nhơ 0,79 Theo kinh nghiệm ta chọn tụ lọc dung kháng 470 , điện áp 400V 17 Hình 4.28 Mạch chỉnh lưu b Bộ nghịch lưu Khối nghịch lƣu dùng để biến đổi điện áp chiều thành điện áp xoay chiều pha điều chỉnh tần số cung cấp cho động Hình 4.30 Sơ đồ nguyên lý mạch nghịch lưu Hình 4.31 Mạch nghịch lưu dùng IGBT 18 c Mạch cách ly (mạch driver) Mạch cách ly nhiệm vụ cách ly kit FPGA mạch nghịch lƣu Nhằm tránh trƣờng hợp FPGA bị ảnh hƣởng mạch nghịch lƣu bị cố cháy nổ Bên cạnh đó, FPGA phát tín hiệu PWM đƣa vào mạch cách ly, nhiệm vụ bảo vệ, mạch cách ly làm nhiệm vụ chia xung thành cặp xung tần số nhƣng ngƣợc pha để đƣa van IGBT Hình 4.32 Nguyên lý mạch driver Mạch cách ly sử dụng OPTO 817C để cách lý, sử dụng IC Driver IR2184 để chia tín hiệu PWM thành tín hiệu PWM tần số, ngƣợc pha Hình 4.33 Mạch driver 19 d Mạch đọc Encoder Tín hiệu từ Encoder đƣa Kit FPGA thơng qua mạch đọc Mục đích sử dụng mạch vì, kit FPGA đọc tín hiệu mức 3,3V, đó, tín hiểu xung từ Encoder 5V Để đơn giản trong việc đọc tín hiệu, tác giả sử dụng OPTO 6N137 Loại OPTO tần số hoạt động cao, 1Mhz hoạt động ổn định Hình 4.37 Mạch đọc Encoder 4.3.2 Chƣơng trình điêu khiển động Khối nhận data nhận giá trị đặt giá trí phản hồi vị trí từ Encoder để so sánh, sai số vị trí giá trị đặt cho điều khiển PID vị trí Đầu PID vị trí giá trị đặt cho PID tốc độ Tín hiệu điều khiển PID tốc tín hiệu điều khiển tần số điền áp sóng Sin để tạo tín hiệu PWM cung cấp cho van IGBT Hình 4.38 Cấu trúc điều khiển vị trí động pha 20 a Điều chế PWM FPGA sử dụng LabVIEW Phƣơng pháp điều chế PWM đƣợc trình bày rõ chƣơng Về nguyên lý, PWM đƣợc điều chế từ sóng Sin đặt lệch 1200, sóng Sin đƣợc so sánh với xung cƣa tần số 2Khz Muốn điều chỉnh tốc độ động ta cần điều chỉnh tần số sóng Sin Vấn đề thay đổi số điện áp stator Khi thay đổi tần số f để điều chỉnh tốc độ ngƣời ta phải kết hợp thay đổi điện áp Stator cho đảm bảo đƣợc tỉ lệ U/f = const Với phƣơng pháp PWM, để điều chỉnh đƣợc U/f = const ta tiến hành điểu chỉnh biên độ tần số sóng Sin Trong lập trình điều chế PWM điều khiển động xoay chiều ba pha theo phƣơng pháp U/f = const, tác giả tạo sóng Sin biên độ A= 512 tần số tối đa f = 50Hz Điều chỉnh tần số điều chỉnh tốc độ Ở ta tạo giá trị đặt điều khiển tốc độ quy đổi tần số để điều khiển sóng Sin - Để điều chỉnh tần số từ tốc độ Ta lập phƣơng trình: y1 = ax1 Với: y1 tần số điều khiển mong muốn x1 tốc độ đặt a hệ số, a = 0,35 - Để điều chỉnh biên độ sóng Sin, ta lấy biên độ A = 512 nhân với biến y2 0  y2  1 biên độ A tƣơng ứng với  A  512 Khi ta lập đƣợc biểu thức: A y2 = b.x1 Với: x1 tốc độ đặt y2 biến phụ thuộc vào tốc độ A biên độ sóng Sin b hệ số, b = 6,7.10-4 21 Từ đó, ta lập trình LabVIEW nhƣ sau: Hình 4.40 Lập trình điều khiển U/f = const Điều chế PWM pha: Hình 4.41 Lập trình điều chế PWM pha b Điều khiển tốc độ vị trí sử dụng PID FPGA Theo mô yêu cầu điều khiển hệ thống vòng điều khiển, vòng điều khiển tốc độ vòng điều khiển vị trí Đầu từ tín hiệu PID điều khiển vị trí đầu vào PID điều khiển tốc độ, đầu PID điều khiển tốc độ tín hiệu hiệu điều khiển tần số biên độ sóng Sin theo theo phƣơng pháp U/f = const nhƣ trình bày phần Trong LabVIEW hỗ trợ điều khiển PID Ta cần đƣa giá trị đặt tín hiệu phản hồi từ cảm biến để điều khiển 22 Hình 3.42 Lập trình điều khiển tốc độ vị trí động pha sử dụng PID Hình 4.43 Giao diện bảng điều khiển Hình 4.44.Mơ hình phần cứng Nhận xét: Mơ hình thực tế hoạt động đáp ứng đƣợc mục đích điều khiển Tuy nhiên, so sánh kết thực nghiệm với kết mơ phần mềm sai số Cụ thể, giá trị 23 góc đặt lớn sai số đƣợc cộng dồn vậy, điều khiển quay vị trí ban đầu vị trí khơng quay xác, sai số nhỏ 4.4 KẾT LUẬN CHƢƠNG Chƣơng trình bày nội dung FPGA LabView ứng dụng để thiết kế hệ thống điều khiển tốc độ, vị trí động xoay chiều ba pha đề tài xây dựng thành công thiết kế phần cứng Mơ hình phần cứng hoạt động ổn định, đáp ứng đƣợc mục tiêu đặt Tuy nhiên việc hiệu chỉnh thông số PID chƣa đƣợc tối ƣu nên sai số nhỏ hệ thống hoạt động KẾT LUẬN KIẾN NGHỊ Sau thời gian làm việc nghiêm túc, khẩn trƣơng đƣợc giúp đỡ PGS.TS Đoàn Quang Vinh, tác giả hoàn thành nội dung luận văn đăng ký Luận văn giải đƣợc nội dung theo yêu cầu với nội dung: Chƣơng 1: Tổng quan động không đồng xoay chiều pha rotor lồng sóc Chƣơng khát động không đồng bộ, xây dựng mơ hình tốn học động khơng đồng hệ tọa độ  sử dụng Matlab-Similink để mơ Kết xác phù hợp với thực tế Chƣơng 2: Biến tần hệ thống biến tần - động không đồng xoay chiều ba pha lồng sóc Tìm hiểu loại biến tần: Biến tần trực tiếp, biến tần gián tiếp Mô thành công hệ thống biến tần - động không đồng ba pha Matlab - Similink Kết phù hợp với lý thuyết 24 Chƣơng 3: Điều khiển vị trí động khơng đồng xoay chiều pha rotor lồng sóc Trình bày số lý thuyết điều khiển vị trí; xây dựng đƣợc mơ hình điều khiển tốc độ vị trí động xoay chiều ba pha theo hai phƣơng pháp PWM phƣơng pháp điều khiển vector sử dụng điều khiển PID Chƣơng 4: Sử dụng FPGA thiết kế điểu khiển vị trí động khơng đồng xoay chiều pha Chƣờng trình bày trình thiết kế phần cứng phần mềm sử dụng FPGA Spartan 3E LabVIEW 2012 để điều khiển tốc độ vị trí động xoay chiều ba pha theo phƣơng pháp PWM Đề tài hoàn thành đáp ứng đủ nội dung, nhiên thực tế mơ hình thực tế số vấn đề, việc điều khiển tốc độ vị trí chƣa đáp ứng xác hồn tồn, sai số việc hiệu chỉnh thơng số PID chƣa tối ƣu, thời gian hạn nên phần mềm chƣa hoàn thiện Bên cạnh phƣơng pháp PWM số nhƣợc điểm Hƣớng phát triển đề tài xây dựng hệ thống điều khiển vị trí theo phƣơng pháp điều khiển vector sử dụng điều khiển PID lai để kết tốt ... Hệ điều chỉnh vị trí có vòng điều khiển, vòng điều khiển tốc độ điều khiển vị trí Vòng điều khiển tốc độ nằm Tín hiệu đặt cho điều khiển vị trí  bao gồm vị trí chiều Tín hiệu điều khiển vị trí. .. lƣợng điều khiển không cao, hiệu suất không phƣơng pháp điều chế vector khơng gian CHƢƠNG ĐIỀU KHIỂN VỊ TRÍ ĐỘNG CƠ KHÔNG ĐỒNG BỘ BA PHA 3. 1 TỔNG QUAN VỀ HỆ ĐIỀU CHỈNH VỊ TRÍ 3. 1.1 Hệ điều chỉnh vị. .. chỉnh vị trí tuyến tính 3. 1.2 Điều chỉnh vị trí tối ƣu theo thời gian 3. 1 .3 Hệ truyền động điều khiển vị trí làm việc chế độ bám 11 3. 2 HỆ THỐNG ĐIỀU CHỈNH VỊ TRÍ ĐỘNG CƠ XOAY CHIỀU BA PHA THEO

Ngày đăng: 01/06/2018, 10:11

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan