NGAN HANG DE THI KỸ THUẬT PLDASIC

40 223 0
NGAN HANG DE THI KỸ THUẬT PLDASIC

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

câu hỏi bài tập và đáp án Bài1: Mã hóa 8 đường sang 3 đường ở mức thấp Bài2: giai ma 2 duong sang 4 duong Bài 3: gia ma led 7 thanh cathode chung Bài4: giai ma da hop 3 dau ra 8 dau lua chon Bài 5: giai ma da hop 1 dau vao dau ra 16 dau ra 4 bit lua chon

Bộ Khoa Điện - Điện tử ================= NGÂN HÀNG ĐỀ THI MƠN: KỸ THUẬT PLD&ASIC HỆ : ĐẠI HỌC CHÍNH QUY Bài1: Mã hóa đường sang đường mức thấp Bài1: Mã hóa đường sang đường mức thấp Bài2: giai ma duong sang duong Bài 3: gia ma led cathode chung .5 Bài4: giai ma da hop dau dau lua chon .6 Bài 5: giai ma da hop dau vao dau 16 dau bit lua chon Bài 6: Thiết kế mạch chuyển đổi số nhị phân bit thành số BCD Bài 7: Thiet ke mach giai ma dung IC 74LS164 .10 Bài 8: thiet ke bo dem tu 000 den 999 va nguoc lai 11 Bài 9: Thiet ke flip-flop RS .13 Bài 10 : thiet ke bo dem nhi phan bit .14 Bài 11: thiet ke den giao thong 15 Bài 11: Thiet ke flip-flop T 17 Bài 12: thiet ke ff dau 18 Bài 13: thiet ke mach dieu khien LED don sang dan sau tat dan 19 Bài 14: Bộ chia xung 1Hz đếm thị LED 20 Bài 15:Bộ cộng hai dãy nhị phân có nhớ bit 22 Bài 16: Bộ điều khiển máy bán hàng 23 Bài 17: Bộ nhận liệu nối tiếp 26 Bài tập 19: Thiết kế mạch giải mã led đoạn loại giống IC 74247 29 Bài tập 20: Thiết kế mạch giải mã led đoạn loại giống IC 4511 30 Bài tập 21: Thiết kế mạch giải đa hợp giống IC 74151 31 Bài tập 22: Thiết kế mạch chuyển đổi số nhị phân bit thành số BCD 32 Bài tập 23: Thiết kế flip flop D 33 Bài tập 24: Thiết kế ghi dịch giống IC 74164 34 Bài tập 25: Thiết kế ghi dịch giống IC 47194 35 Bài tập 26: Thiết kế mạch điều khiển đèn giao thông với xanh_1, vàng_1, Đỏ_1, xanh_2, vàng_2, đỏ_2 Cho xanh sáng 15 giây, vàng sáng giây đỏ sáng 20 giây 36 Bài tập 28: Thiết kế mạch điều khiển đèn led đơn với yêu cầu sau: điểm sáng chạy từ phải sang trái từ trái sang phải theo xung clock có chân cho phép E tích cực mức 37 Bài tập 29: Thiết kế mạch đếm nhị phân bit – đếm xuống 38 Bài tập 30: Thiết kế mạch đếm nhị phân bit – đếm lên, đếm xuống điều khiển tín hiệu UD (UD = đếm lên, UD = đếm xuống), có tín hiệu CLK, tín hiệu CLR .39 Bài1: Mã hóa đường sang đường mức thấp library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity mahoa83 is Port ( I : in STD_LOGIC_VECTOR (7 downto 0); Q : out STD_LOGIC_VECTOR (2 downto 0)); end mahoa83; architecture Behavioral of mahoa83 is begin PROCESS(I) BEGIN CASE I IS WHEN "11111110" => Q Q Q Q Q Q Q Q NULL; END CASE; END PROCESS; end Behavioral; ======================== Bài2: giai ma duong sang duong library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity giaima24 is Port ( I : in STD_LOGIC_VECTOR (1 downto 0); Q : out STD_LOGIC_VECTOR (3 downto 0)); end giaima24; architecture Behavioral of giaima24 is begin PROCESS (I) BEGIN CASE I IS WHEN "11" => Q Q Q Q NULL; END CASE; END PROCESS; end Behavioral; =================================== Bài 3: gia ma led cathode chung library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity led7 is Port ( I : in STD_LOGIC_VECTOR (3 downto 0); Y : out STD_LOGIC_VECTOR (6 downto 0)); end led7; architecture Behavioral of led7 is begin PROCESS (I) BEGIN CASE I IS when "1111" => Y Y Y Y Y Y Y Y Y Y Y Q(0) Q(1) Q(2) Q(3) Q(4) Q(5) Q(6) Q(7) NULL; END CASE; END PROCESS; end Behavioral; Bài 5: giai ma da hop dau vao dau 16 dau bit lua chon library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity giaima16daura is Port ( I : in STD_LOGIC; S : in STD_LOGIC_VECTOR (3 downto 0); Q : out STD_LOGIC_VECTOR (15 downto 0)); end giaima16daura; architecture Behavioral of giaima16daura is begin PROCESS(I,S) BEGIN CASE S IS WHEN "0000" => Q(0) Q(1) Q(2) Q(3) Q(4) Q(5) Q(6) Q(7) Q(8) Q(9) Q(10) Q(11) Q(12) Q(13) Q(14) Q(15) NULL; END CASE; END PROCESS; end Behavioral; Bài 6: Thiết kế mạch chuyển đổi số nhị phân bit thành số BCD library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity led7 is Port ( I : in STD_LOGIC_VECTOR (3 downto 0); Y : out STD_LOGIC_VECTOR (6 downto 0)); end led7; architecture Behavioral of led7 is begin PROCESS (I) BEGIN CASE I IS when "1111" => Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y

Ngày đăng: 01/06/2018, 07:33

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan