TÀI LIỆU HƯỚNG dẫn THÍ NGHIỆM môn điện tử số

74 863 0
TÀI LIỆU HƯỚNG dẫn THÍ NGHIỆM môn điện tử số

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI VIỆN ĐIỆN TỬ - VIỄN THÔNG *** TÀI LIỆU HƯỚNG DẪN THÍ NGHIỆM MÔN ĐIỆN TỬ SỐ Nhóm biên soạn: Phòng thí nghiệm: Họ tên sinh viên: ……………………………………… Mã lớp TN: …………………………………………… Mã số SV: …………………………………………… Lớp: ………………………………………………… Email: ………………………………………………… Hà Nội 2017 YÊU CẦU THÍ NGHIỆM Các bước để thực nội dung thí nghiệm: Bước 1: Chuẩn bị trước lên phòng thí nghiệm  Nhận tài liệu phòng thí nghiệm Đọc kỹ yêu cầu tài liệu thí nghiệm  Tìm hiểu lý thuyết, tải phần mềm có liên quan đến nội dung yêu cầu  Trả lời câu hỏi lý thuyết tài liệu, thực mô theo yêu cầu Bước 2: Thực thí nghiệm phòng thí nghiệm (theo lịch đăng ký)  Trả lời câu hỏi kiểm tra trước vào thí nghiệm  Nhận linh kiện vị trí thực thí nghiệm theo hướng dẫn  Lắp ráp mạch kiểm tra trước cấp nguồn, cấp tín hiệu, đo tham số  Ghi lại tham số vào tài liệu thí nghiệm nháp (phục vụ cho việc làm báo cáo mềm sau thí nghiệm)  Sắp xếp lại thiết bị, bàn ghế, tắt thiết bị thí nghiệm sử dụng xong, dọn dẹp mặt bàn Trả lại linh kiện thiết bị sau thí nghiệm Bước 3: Mô mạch  Tìm hiểu sử dụng phần mềm mô mạch điện tử Circuit Maker  Mô mạch điện sử dụng máy tính cá nhân nhà phòng thí nghiệm, theo hướng dẫn tài liệu  Viết lại kết mô theo mẫu phiếu đánh giá Bước 4: Đánh giá thí nghiệm (Sinh viên phải thực đủ 03 bước nêu trên) Tại buổi mà sinh viên đăng ký bước 2, Giáo viên đánh giá thông qua việc:  Kiểm tra báo cáo sinh viên  Thực lặp lại thí nghiệm (theo mẫu đáng giá kèm theo)  Liên hệ với phòng thí nghiệm page PTN Điện tử: https://goo.gl/ZqfQML https://goo.gl/FbC7Pb thông báo thông tin việc thay đổi lịch, lịch thí nghiệm bù, sinh viên chưa đạt thí nghiệm  Group facebook: https://goo.gl/M6hJ9b  Điền thông tin vào phiếu điểm danh: https://goo.gl/NtTV5Q  Trang web phòng thí nghiệm: http://ktdtdhbkhn.simplesite.com/  (Website: Bao gồm thông tin phòng thí nghiệm như: Phiếu điểm danh, nội quy, tài liệu, phần mềm, khóa học…) | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội GIỚI THIỆU Phần thực hành chia làm Module, module thực Với module, sinh viên thực thực hành lắp mạch thực tế thực mô phần mêm Circiut Maker 2000, nhằm nâng cao tính trực quan môn học có so sánh đối chiếu thực nghiệm lý thuyết lí tưởng phần mềm mô Bài thí nghiệm hỗ trợ sinh viên hiểu sâu lí thuyết tương tự dựa tảng bản, giúp sinh viên nắm quy trình lắp ráp mạch thật sử dụng máy đo  Module 1: Lắp ráp mạch tổ hợp logic  Module 2: Làm quen với lập trình phần cứng  Module 3: Kiểm tra đánh giá Mỗi module tương ứng với buổi thí nghiệm, sinh viên chuẩn bị trước trước có mặt phòng thí nghiệm Sinh viên hoàn thành chương trình thí nghiệm tốt trả lời tốt câu hỏi trình thực hành miễn Bảo vệ thí nghiệm | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội MODULE LẮP RÁP MẠCH TỔ HỢP LOGIC A Yêu cầu thực lớp Mục đích  Biết cách sử dụng thiết bị thí nghiệm để lắp ráp mạch logic  Biết hoạt động chọn liệu đầu vào phận kênh đầu Cơ sở lý thuyết yêu cầu  Từ lý thuyết học kiểm tra hoạt động phần tử logic  Thiết lập bảng chân lý mạch logic, tìm hiểu nguyên lý làm việc chọn liệu đầu vào kênh đầu có đồ (Hình 1.1) đồng thời khảo sát hoạt động mạch Giới thiệu loại IC số sử dụng thí nghiệm: 7400 7408 7404 7432 Các bước tiến hành Bước 1:  Chọn vi mạch, xác định chân vi mạch linh kiện cần thiết khác  Vẽ đồ lắp ráp mạch | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Hình 1.1 đồ nguyên lý chọn liệu đầu vào kênh đầu 10 15 20 25 30 35 40 45 50 55 60 Hình 1.2 Mô hình bo mạch Bước 2: Lắp mạch  Lắp mạch theo đồ nguyên lý (hình 1.1), điện trở R (550 Ω) nối với LED  Để cho IC hoạt động theo chế độ mong muốn, phải cấp nguồn nuôi cho IC, cung cấp nguồn sau:  Chân Vcc nối với +5V  Chân GND nối với 0V Bước 3: Thử mạch  Cho nguồn cung cấp vào bảng thí nghiệm  Đưa mức logic đầu vào (X1, X2, X3) với quy định:  Đầu vào nối với đất mức logic “0”  Đầu vào nối với +5V mức logic “1”  Dựa vào bảng trạng thái đầu vào X1, X2, X3 cho đây, quan sát led đầu Y1, Y2, Y3 với:  Led tắt mức logic “0 ”  Led sáng mức logic “1”  Điền giá trị quan sát vào bảng chân lý: | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội X3 X2 X1 0 0 1 0 1 0 1 1 1 Y1 Y2 Y3 Viết hàm biểu diễn bảng chân lý phần tử từ kết đo được: ……………………………………………………………………………………… ……………………………………………………………………………………… ……………………………………………………………………………………… ……………………………………………………………………………………… ……………………………………………………………………………………… ……………………………………………………………………………………… | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội B Yêu cầu thực nhà CHƯƠNG I: MỞ ĐẦU Bài 1: BÀI MỞ ĐẦU Phần cung cấp kiến thức CircuitMaker như: môi trường làm việc, quy trình sử dụng… Đây kiến thức thiết kế mạch làm mô mạch môi trường CircuitMaker 1.1 CircuitMaker gì? CircuitMaker chương trình cho phép người dùng nhanh chóng lắp ráp thử nghiệm mô mạch số mạch tương tự mức logic Đồng thời hỗ trợ việc xuất file netlist để vẽ mạch in Tài liệu hướng dẫn sử dụng tập trung vào việc lắp ráp mô mạch số 1.2 Môi trường làm việc CircuitMaker Môi trường CircuitMaker bao gồm Title Bar, Menu Bar, Toolbar, Status Bar, Panel, Schematic Window Hình 1.1 Ngoài thành phần tồn hầu hết ứng dụng đồ họa Title Bar, Menu Bar, Status Bar thành phần lại có ý nghĩa sau: Hình 1.1 Môi trường làm việc CircuitMaker | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Ngoài thành phần tồn hầu hết ứng dụng đồ họa Title Bar, Menu Bar, Status Bar thành phần lại có ý nghĩa sau: Sau cài đặt linh kiện vị trí, nối chúng lại dây dẫn Mạch sau nối dây cho phép mô phỏng, kiếm tra công cụ mô CircuitMaker 1.1.1 Các file CircuitMaker CircuitMaker gồm nhiều file sử dụng cho mục đích riêng với phần đuôi: CKT Mạch nguyên lý .DAT File liệu (phím nóng; đặc tả kỹ thuật thiết bị) .MOD File lưu trữ chế độ hoạt động LIB Thư viện thiết bi .SUB File mạch .SDF Dạng sóng mô tả file setup 1.1.2 Quy trình sử dụng CircuitMaker Việc sử dụng CircuitMaker làm sáu bước sau: Chọn thiết bị cần thiết (điện trở, tụ, IC …) đưa lên vẽ Sắp xếp thiết bị cho hợp lý Thiết lấp thông số của thiết bị (độ trễ, nội dung ROM…) Xóa thêm thiết bị theo yêu cầu Nối dây Mô kiểm tra mạch vẽ 1.1.3 Toolbar CircuitMaker Có thể thực chức CircuitMaker nút nhấn Toolbar nằm vùng làm việc Toolbar gồm có thành phần hình 1.2 Hình 1.2 Toolbar CircuitMaker | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Chức công cụ giới thiệu bảng 1.1 Chức chi tiết trình bày phần sau Bảng 1.1 Chức Toolbar Panel Bật tắt cửa số panel Rotate Xoay thiết bị New Tạo vẽ Mirror Lật thiết bị Open Mở vẽ lưu Save Lưu vẽ Help Print In vẽ Reset Arrow tool Chọn, di chuyển thành phần Traxmaker Analyses setup Tạo netlist chạy traxmaker Trợ giúp Khởi động lại trình mô Thiết lập thông số phân tích Wire tool Vẽ dây nối, bus Run analog Chạy, dừng mô tương tự Text tool Chèn đoạn văn Trace digital Hiện thị giá trị số dây dẫn Delete tool Xóa thành phần Run digital Chạy, dừng mô số Probe tool Đo tín hiệu Step digital Chạy bước mô số Zoom tool Phóng to thu nhỏ vẽ Fit to Hiện toàn vẽ window Tile windows Chọn cách hiển thị ác cửa số cửa số 1.1.4 Phím nóng (hotkeys) CircuitMaker cung cấp phím nóng liệt kê bảng 1.2 Đồng thời cho phép người dùng định nghĩa tối đa 62 phím nóng để lấy thiết bị thường sử dụng, chi tiết trình bày phần Vẽ chỉnh sửa mạch nguyên lý Bảng 1.2 Các phím nóng CircuitMaker Ctrl+A Chọn tất F3 Hiển thị tỉ lệ bình phương Ctrl+C Copy F4 Hiển thị toàn vẽ Ctrl+D Nhân đôi thiết bị F5 Thay đổi thông số vẽ Ctrl+F Tìm thành phần F7 Vẽ lại hình Ctrl+K Hiển thị thông số thiết bị F8 Thiết lập phân tích Ctrl+L Hiển thị thông số vẽ F9 Mô số bước Ctrl+M Lật thiết bị F10 Chạy dừng mô | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Ctrl+N Tạo vẽ F11 Hiển thị giá trị số Ctrl+O Mở vẽ lưu Esc Bỏ qua thao tác làm Ctrl+P In vẽ Ctrl+Q Khởi động lại mô Ctrl+R Xoay thiết bị Delete Xóa thành phần chọn Ctrl+S Lưu vẽ Home Vị trị trung tâm vị trí trỏ Ctrl+V Paste Arrow Keys Di chuyển thiết bị chọn F1 Trợ giúp Shift+Insert Di chuyển nhóm thiết bị F2 Thay đổi tỉ lệ hiển thị Page Up Page Down Phóng to lên Thu nhỏ vẽ Bài 2: VẼ VÀ CHỈNH SỬA MẠCH NGUYÊN LÝ CircuitMaker cung cấp nhiều công cụ mạnh mẽ cho phép vẽ chỉnh sửa mạch nguyên lý nhanh chóng dễ dàng Phần cung cấp kiến thức công cụ vẽ chỉnh sửa mạch nguyên lý 2.1 Tìm cài đặt thiết bị CircuitMaker cung cấp thư viện hàng ngàn thiết bị (có thể tham khảo hướng dẫn sử dụng thiết bị để có thêm thông tin) Ta lấy thiết bị cách dùng tab Browse hay tab Seach cửa sổ Panel hay sử dụng phím tắt 2.1.1 Tab browse Ta lựa chọn thiết bị thông qua tab browse Các thiết bị chia theo dạng phân cấp Để tìm thiết bị thực công việc sau: Chọn ta browse panel Lựa chọn thiết bị cách chọn theo phân lớp (có thể chọn theo tên, theo chức năng…) Nhấn đúp chuột để chọn thiết bị đưa vào vùng vẽ Thiết bị theo trỏ chuột nhấn chuột trái Trong kéo thiết bị bạn quay (nhấn phím R) hay lật ngược (nhấn phím M) thiết bị 2.1.2 Tab Seach Tab cho phép ta tìm kiếm thiết bị thỏa điều kiện tìm kiếm ta Điều kiện tên thiết bị phần tử mô tả chức thiết bị có chứa ký tự ta tìm kiếm Có thể dùng ký tự đại diện * Ví dụ tìm kiếm 74* tiimf kiếm tất IC họ 74 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội a Bộ so sánh bit b Bộ so sánh bit ghép từ so sánh bit c Bộ so sánh bit d Bảng chân lý, hàm f logic đầu so sánh bit Trong đó, đầu so sánh bit tối ưu thông qua bìa Karnaugh đồ mạch điện tử số so sánh bit đưa bảng dây: 59 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Các bước thực thiết kế Quartus II sau: - Bước 1: Tạo project Quartus II đường dẫn thư mục : D:\ Digital_electronic_lab\lab4 tên prọect soánh_3bit - Bước 2: Đưa thiết kế vào công cụ vẽ mạch : Vẽ mạch công cụ Block Diagram / Schematic lưu lại tên file sosanh_1bit.bdf Chọn File / Create/Update / Creat Symbol for Current File ấn Save để tạo linh kiện so sánh bit Sau có linh kiện ta tạo file vẽ mạch vẽ lại mạch với ý ác đầu vào A B chuyển thành AA BB để tránh bị trùng với đầu B.Linh kiện mạch so sánh bit mà tạo Lấy linh kiện VCC GND thư mục linh kiện primitives/other Lưu lại đưới tên sosanh_3bit.bdf - Bước 3: Dịch chương trình - Bước 4: Gán chân cho DE2 với đầu vào lấy từ chuyển mạch SW5SW3 dành cho đầu vào AA chuyển mạch SW2-SW0 dành cho đầu vào BB 60 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Kết hiển thị đưa đèn LED với màu xanh LEDG0 vào chân L để thể số AA lớn số BB hai LED đỏ LEDR0, LEDR1 vào chân B N tương ứng để thể số AA nhỏ BB - Bước 5: Mô cách tạo trường hợp AA lớn BB, Â BB Â nhỏ BB Gợi ý cần cho bit lên để tạo khác biệt - Bước 6: Ghi chương trình lên DE2 - Bước 7: Kiểm tra hoạt động mạch cách bật tắt công tắc quan sát kết đèn LED Các gợi ý mở rộng:  Xây dựng so sánh hai số bit  Dùng so sánh với cộng để thực cộng trừ cộng/trừ hai số biểu diễn theo kiểu bù bù 2.3 Tài liệu tham khảo [1] Altera (06/2009) Quartus II Introduction Using VHDL Design [Online] Available: http://www.altera.com [2] Altera (2006) DE1 Development and Education Board User Manual [Online] Available: http://www.altera.com 2.4 Các câu hỏi đánh giá hiểu biết - Có phương án thực so sánh bit ? - Khó khăn phương án thực ? - Đường dài lien kết so sánh bit ? - Tốc độ tối đa cho phép so sánh ? - Số phần tử logic dùng cho thiết kế ? 61 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội B Yêu cầu thực nhà Bài 3: MẠCH DÃY, XÂY DỰNG BỘ ĐẾM 3.1 Mục tiêu thí nghiệm Bài hướng dẫn cách thiết kế đếm bit sử dụng loại flip-flop Mạch điện thực công cụ chạy Quartus II bo mạch DE2 Giá trị đếm tăng gặp sườn dương xung clock hiển thị đèn LED Các kiến thức cần trang bị trước thực thí nghiệm này: - Bảng biểu diễn chức yêu cầu cầu dùng bảng chân lý - Sử dụng bảng chân lý flip-flop để viết phương trình kích Các kiến thức kỹ có sau hoàn thành thí nghiệm - Sinh viên hiểu cách thực mạch dãy dùng flip-flop - Cách thực thiết kế từ yêu cầu đặt - Kỹ sử dụng công cụ hỗ trợ thiết kế mạch Quartus II, phân tích, tổng hợp, đánh giá kết 3.2 Nội dung thí nghiệm Để thiết kế mạch số bất kỳ, ta cần chuyển mô tả chức dạng bảng chân lý Sau dựa bảng chân lý loại flip-flop dùng để viết chương trình kích thích hợp đầu vào flip-flop chonhận trạng thái đầu mong muốn Bảng chân lý cúa đếm đưa hình 3.1 62 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Hình 3.1: Bảng chân lý cho đếm 4bit Như đề cập phần giảng lý thuyết, có hai loại mạch dãy Moore Mealy Với mạch dãy lạo Moore, đầu mạch phụ thuộc trực tiếp vào trạng thái mạch Loại Mealy có đầu phụ thuộc trực tiếpvào đầu vào trạng thái mạch Ở đây, xung clock đưa vào chân CLK flip-flop dùng làm thay đổi trạng thái flip-flop Bộ đến bit xây dựng dùng flip-flop loại T Hình 3.2: Bảng kích cho đếm đồ mạch điện đưa đây: 63 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Các bước thực thiết kế Quartus II sau: - Bước 1: Tạo project Quartus II - Bước 2: Tạo file mạch với đồ - Bước 3: Dịch chương trình - Bước 4: Gán chân cho DE1 DE2 với đầu vào clock đếm lấy từ công tắc nút bấm KEY0 bo mạch Đầu vào reset lấy từ chuyển mạch SW0 Kết đếm hiển thị LED đỏ LEDR3 – LEDR0 - Bước 5: Thực mô bằn cách đưa xung vào chân clock để tăng số đếm đầu - Bước 6: Ghi chương trình lên DE1 DE2, thực nhấn chuyển mạch để tạo xung đếm đưa vào quan sát kết đếm đèn LED Các gợi ý mở rộng - Dùng xung nhịp từ dao động thạch anh DE1 để đưa vào đếm thay dùng nút bấm để đưa xung đếm vào - Hiển thị kết đèn LED - Dùng flip-flop khác loại T để thực đếm - Thay đổi thiết kế đếm với tín hiệu điều khiển để đếm tăng giảm tùy theo mức logic tín hiệu điều khiển - Thay đổi thiết kế nộ đếm cho đếm đến 10 - Thay đổi thiết kế cho đếm nạp giá trị ban đầu đếm tăng/giảm từ giá trị nạp 64 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội 3.3 Tài liệu tham khảo [1] Altera (06/2009) Quartus II Introuction Using VHDL Design [Online] Available: http:// www.altera.com [2] Altera (2006) DE1 Development and Education Board User Manual [Online].Available: http:// www.altera.com 3.4 Các câu hỏi đánh giá hiểu biết - Phát triển đếm thành đếm bit ? - Đường dài liên kết đếm băng ? - Tốc độ cho phép so sánh ? - Số phần tử logic dùng cho thiết kế bao nhiều ? Bài 4: MÁY HỮU HẠN TRẠNG THÁI FSM 4.1 Mục tiêu thí nghiệm Triển khai mạch logic để nhận biết hai chuỗi tín hiệu đầu vào xác định dùng FSM, cụ thể bốn lần liên tục mức bốn lần liên tục mức Có đầu vào w đầu z Bất kể w = w = bốn xung nhịp đồng hồ liên tiếp đầu z có giá trị 1; ngược lại , z = Cho phép tượng chồng chuỗi, w = năm xung nhịp đồng hồ liên tiếp đầu z sau xung nhịp thứ bốn thứ năm Hình 4.1 minh họa quan hệ yêu cầu w z Hình 4.1: Yêu cầu mặt thời gian cho đầu z Các kiến thức cần trang bị trước thực thí nghiệm - Hiểu biết FSM, cách mã hóa trạng thái máy thông dụng - Kỹ ngôn ngữ VHDL - Kỹ với công cụ phần mềm Quartus II - Nắm rõ cách sử dụng kit DE1 Các kiến thức kỹ có sau hoàn thành thí nghiệm - Có kỹ cách xây dựng FSM mạch logic 65 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội - Biết dùng công cụ State machine file sinh mã VHDL dùng để triển khai viết mã cho FSM 4.2 Nội dung thí nghiệm Phần yêu cầu thực mạch FSM gán trạng thái tay bao gồm biểu thức logic để nạp trạng thái cho flip-flop trạng thái Để triển khai FSM dùng chín filpflop trạng thái gọi y8y7y6y5y4y3y2y1y0 phép gán trạng thái kiểu one-hot đưa bảng 4.1 Bảng 4.1: Mã one-hot dành cho FSM Hình 4.2: đồ trạng thái máy cho FSM - Bước 1: Tạo dự án Quartus II với tên part cho mạch FSM Chọn loại chip Cyclone II EP2C20F484C7N - Bước 2: Dùng công cụ State Machine File sinh mã VHDL - Vào File -> New -> State Machine File Tạo file có tên part1.smf - Chọn công cụ State Machine Wizard công cụ 66 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội - Chọn tiếp Creat a new state machine design -> OK Chọn Next - Điền đầy đủ State Name từ A - > I Input Port Name theo đồ trạng thái máy hình 4.2 67 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội - Chọn Next Trong bảng Output port ta điền đổi tên đầu thành z thay giá trị bảng Action Tương ứng với trạng thái A, B, C, D, E, F, G, H z = 0, lại z = Thay đổi cột Output State thành Current clock cycle Trong bảng Summary ta kiểm tra lại tham số trạng thái đầu vào, đầu Nếu chọn Finish Chúng ta hình sau: Các bạn dùng công cụ selection để di chuyển hình tròn mũi tên cho giống với hình 4.2 68 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội - Chọn công cụ để sinh mã VHDL, sau ta file part.vhdl - Thêm đầu vào VHDL sau: - Sau thêm đoạn mã sau vào cuối chương trình - Bước 3: Gán chuyển mạch SW0 làm đầu vào reset mức thấp cho FSM, gán SW1 làm đầu vào w, gán nút bấm KEY0 làm đầu vào xung đồng hồ Gán LEDG0 làm đầu 69 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội z, gán đầu flip-flop trạng thái với LED đỏ từ LEDR8 đến LEDR0 - Bước 4: Biên dịch dự án - Bước 5: Mô chức mạch - Bước 6: Khi chắn mạch làm dựa theo kết mô phỏng, nạp mạch biên dịch xuống FPGA Kiểm tra chức thiết kế cách đưa chuỗi đầu vào qua SW quan sát đầu LED - Bước 7: Triển khai lại FSM dùng mã one-hot biểu diễn bảng Gợi ý: cần tạo vài thay đổi cho biểu thức logic mạch để triển khai mã onehot thay đổi Biên dịch lại dự án kiểm tra mô nạp FPGA Bảng 4.2: Các mã one-hot thay đổi cho FSM - Bước 8: Khi chắn mạch làm việc dựa theo mô phỏng, nạp mạch biên dịch xuống FPGA Kiểm tra chức thiết kế cách đưa chuỗi đầu vào qua SW quan sát đầu LED 4.3 Tài liệu tham khảo [1] Douglas L Perry, VHDL Programming by Example, McGraw-Hill, 2002 [2] Daniel D Gajski, Principles of Digital Design, Prentice-Hall, 1996 [3] Altera (2006) DE1 Development and Education Board User Manual[Online] Available: http://www.altera.com 70 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội MODULE 3: KIỂM TRA VÀ ĐÁNH GIÁ Trong module này, kiểm tra lí thuyết bản, kĩ thực hành sinh viên Muốn vượt qua bảo vệ thí nghiệm, sinh viên trải qua phần thi:  Lí thuyết tương tự - từ 15 đến 30 phút  Thực hành lắp mạch tương tự - từ 30 phút đến 45 phút  Vấn đáp – từ đến câu Sinh viên tham khảo số mạch thực hành đây, thực mô trước nhà để biết trước phương hướng, kết thí nghiệm Hình Hình Hình Hình Hình Một vài câu hỏi tham khảo: Câu Kể tên số phím nóng(Hot Keys) mặc định Circuit Maker? Câu Các cách để tìm kiếm linh kiện, thiết bị Circuit Maker? Câu 71 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội Viết ct định luật De- Morgan ? Câu Chức IC 74LC138, 7447, 74168,7490, Câu Nêu tên Kit thực hành chạy mô ? Câu Trình bày quy trình thiết kế FPGA? Câu Viết hàm mạch hình 1, hình 2, hình 3, hình 4, hình tối thiểu chúng? Câu Nêu khoảng giá trị điện trở để đèn led 3,3V sáng ổn định? Câu Xây dựng công thức tính dòng chạy qua điện trở hạ áp? Câu 10 Trình bày yếu tố khách quan ảnh hưởng đến kết trình lắp mạch? Câu 11 Đọc giá trị điện trở theo yêu cầu? Câu 12 Nêu chức IC sử dụng mạch? B Yêu cầu nhà  Sinh viên theo dõi thông tin đạt hay không đạt đường link: https://goo.gl/eczmh4  Khi sinh viên không đạt thí nghiệm, xin giấy làm bù thí nghiệm C9 – 405 theo dõi lịch làm bù thí nghiệm https://goo.gl/u7eVnP 72 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội 73 | Viện Điện Tử - Viễn Thông, Đại học Bách Khoa Hà Nội ...YÊU CẦU THÍ NGHIỆM Các bước để thực nội dung thí nghiệm: Bước 1: Chuẩn bị trước lên phòng thí nghiệm  Nhận tài liệu phòng thí nghiệm Đọc kỹ yêu cầu tài liệu thí nghiệm  Tìm hiểu lý... thuyết tài liệu, thực mô theo yêu cầu Bước 2: Thực thí nghiệm phòng thí nghiệm (theo lịch đăng ký)  Trả lời câu hỏi kiểm tra trước vào thí nghiệm  Nhận linh kiện vị trí thực thí nghiệm theo hướng. .. buổi thí nghiệm, sinh viên chuẩn bị trước trước có mặt phòng thí nghiệm Sinh viên hoàn thành chương trình thí nghiệm tốt trả lời tốt câu hỏi trình thực hành miễn Bảo vệ thí nghiệm | Viện Điện Tử

Ngày đăng: 20/04/2017, 23:13

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan