GIAO TRINH KTS c6 VHDL

81 342 0
GIAO TRINH   KTS c6 VHDL

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

VHDL - VHDL ngôn ngữ mô tả phần cứng - VHDL viết tắt VHSIC (Very High Speed Integrated Circuit) Hardware Description Language - VHDL không phân biệt chữ viết hoa chữ thường databus Databus DataBus DATABUS - VHDL ngôn ngữ “đònh dạng tự do” if (a=b) then if (a=b) if (a = b) then NguyenTrongLuat then Thuật ngữ COMPONENT: - Là khái niệm trung tâm mô tả phần cứng VHDL để biểu diễn cấp thiết kế từ cổng đơn giản đến hệ thống phức tạp - Mô tả component bao gồm ENTITY ARCHITECTURE - Một component sử dụng component khác mux2to1 nand2 a b NguyenTrongLuat z d0 d1 sel y Mã VHDL LIBRARY khai báo thư viện ENTITY thực thể ARCHITECTURE kiến trúc NguyenTrongLuat Ví dụ: Mã VHDL mô tả component NAND ngõ vào LIBRARY ieee; USE ieee.std_logic_1164.all; a b z ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END nand_gate; ARCHITECTURE model OF nand_gate IS BEGIN z

Ngày đăng: 09/12/2016, 14:12

Tài liệu cùng người dùng

  • Đang cập nhật ...