1. Trang chủ
  2. » Giáo Dục - Đào Tạo

High k metal insulator metal (MIM) capacitors for RF mixed signal IC applications

137 412 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

HIGH-ĸ METAL-INSULATOR-METAL (MIM) CAPACITORS FOR RF/MIXED-SIGNAL IC APPLICATIONS KIM SUN JUNG NATIONAL UNIVERSITY OF SINGAPORE 2005 Fo und e d High-κ Metal-Insulator-Metal (MIM) Capacitors for RF/Mixed-Signal IC Applications KIM SUN JUNG M. Eng. A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY NATIONAL UNIVERSITY OF SINGAPORE 2005 Acknowledgments i ACKNOWLEDGMENTS I cannot fully express my gratitude to my supervisor Associate Professor Cho ByungJin who has given me support and guidance throughout my study in National University of Singapore (NUS). The seven years I have worked with him as a research engineer, a master student, and a Ph.D. candidate has changed the direction of my life and laid a cornerstone of my future endeavor. I sincerely thank him. I would like to express my deepest gratitude to my co-supervisors Professor Li Ming-Fu, who has led the MIM research project to an unprecedented success as a principal investigator, and Dr. Yu Mingbin in Institute of Microelectronics (IME). Without their support and advice, much of this thesis would have been impossible. My gratitude also to the other advisers and colleagues in MIM research group: Dr. Zhu Chunxiang, Professor Albert Chin, Professor Kwong Dim-Lee, Dr. Ding Shi-Jin, Dr. Hu Hang, Yu Xiongfei, Yang Tian, and Lim Hsiang-Fang. I have truly enjoyed the time working with all of them and appreciate all of their contributions and hard works. I would also like to extend my gratitude to other teaching staffs in Silicon Nano Device Laboratory (SNDL): Professor Daniel Chan, Associate Professor Yoo WonJong, Associate Professor Ganesh Samudar, and Dr. Lee Sungjoo for their valuable pieces of comment and advice on my research work during internal meetings and seminars. I particularly thank Dr. Lee Sungjoo for his kind advice on my future career. Special gratitude to Mr. Joo Moon Sig and Mr. Park Chang Seo for their help in many ways. Their long professional experiences in a major IC manufacturer have significantly contributed to my progress as well as many other students in SNDL. My warmest thanks to Dr. Loh Wei Yip for many stimulating and joyful discussions both in and outside the laboratory. Acknowledgments ii The technical staff and my fellow students in SNDL are also gratefully acknowledged: Mr. Yong Yu Fu, Patrick Tang, Mr. O Yan Wai Linn, Lau Boon Teck, Mr. Whang Sung Jin, Hwang Wan Sig, Wu Nan, Chen Jinghao, Yeo Chia Ching, Debora Poon, Wang Xinpeng, Gao Fei, Ren Chi, Shen Chen, Zerlinda Tan, Zhang Qingchun, and Wang Ying Qian. It was a joyful experience working with all of them. I am also greatly indebted to the members of Na-Sum Korean Church in Singapore: Pastor Jung Jae-Hwang, Pastor Kim Taek-Ho, Elder Kang Gwang-Hyun, Dr. Lee Kahng-Soo, Dr. Kim Yong-Dahl, Dr. Hahn Kyu-Hyun, Prof. Jung Jin-Wook, Mr. Sohn Yong-Seok, Mr. Choo Sung-Woon, Ms Kim Yoo-Kyung, and all of those who have prayed for my family and eagerly helped us in many ways. Last but not least, my deepest thanks to my wife, Eun-Jung, whose encouragement and sacrifice throughout those seven years have made this work possible, and to my son Irgene who has brought me so much joy. Special recognition also belongs to my parents for their love and support. iii Abstract ABSTRACT In this thesis, a series of novel high-ĸ MIM capacitors are developed for RF/mixedsignal IC applications. Firstly, the feasibility of a HfO2-based MIM capacitor is investigated using the dielectrics deposited by the reactive sputtering method. Satisfactory DC properties are obtained even without typical high temperature postdeposition anneal steps, indicating that the HfO2–based dielectrics are promising candidates for analog MIM capacitors where the process temperature is limited by the melting temperatures of interconnect metals. It is further demonstrated that lanthanide doping into HfO2 can alleviate the two undesired properties of thin dielectric MIM capacitors; high leakage current and poor capacitance linearity. Secondly, an advanced and industry-ready dielectric deposition technique, atomic layer deposition (ALD), is introduced, and HfO2-Al2O3 laminate MIM capacitors are developed. Compared with various high-κ MIM capacitors, the laminate MIM capacitor exhibits superior electrical characteristics such as high capacitance density in the RF regime, low leakage current and voltage linearity, high breakdown field, plus promising device reliability. When compared with the MIM capacitors using a sandwich dielectric structure, the laminate MIM capacitors exhibit superior performance, including lower leakage current, higher EBD, longer time-to-breakdown, and polarity-independent electrical properties. It is found that the alternate insertion of Al2O3 layers effectively suppress HfO2 crystallization, and interrupts grain boundary channels extending from the top to the bottom, and can provide good interfacial quality near the bottom electrode. Thirdly, an innovative dielectric structure is conceived, by intentionally inserting low-ĸ SiO2 into the high-ĸ stack, to solve the inherent poor voltage linearity in high-ĸ MIM capacitors. A well-engineered HfO2/SiO2 stacked dielectric MIM Abstract iv capacitor can achieve a high capacitance density while maintaining small VCC values, a property which is hard to acquire using high-ĸ dielectrics alone. The compensation effect of HfO2 and SiO2 stack structure is observed in the temperature dependence of the capacitance as well, and such combination is also advantageous for leakage current characteristics. Finally, a novel MIM capacitor using a new high-ĸ material, Nb2O5, whose ĸ value is higher than 40, is developed. In combination with HfO2/Al2O3 barriers, the MIM capacitor delivers a high capacitance density of 17 fF/µm2 with excellent reliability and RF properties, which is suitable for long-term RF bypass or decoupling capacitors. Furthermore, the first ever high-ĸ MIM capacitors in Cu/low-ĸ BEOL technology is demonstrated, and show that higher resonant frequencies can be obtained by replacing conventional Si3N4 in MIM capacitors with high-ĸ dielectrics. v Contents CONTENTS ACKNOWLEDGEMENTS i ABSTRACT iii CONTENTS v LIST OF FIGURES viii LIST OF TABLES xiv CHAPTER INTRODUCTION 1.1 RF and Mixed-Signal Technologies . 1.1.1 System-on-a-Chip (SOC) Technology . 1.1.2 Integrated Passive Devices . 1.2 Motivation and Purpose of Thesis 1.3 Thesis Outline and Original Research Contributions . References . CHAPTER LITERATURE ANDTECHNOLOGY REVIEW 2.1 2.2 MIM Capacitors 2.1.1 Evolution of Capacitors in Analog ICs 2.1.2 Potential Applications of MIM Capacitors . 2.1.2.1 Capacitors in RF Circuits . 10 2.1.2.2 Capacitors in Mixed-Signal ICs . 10 2.1.2.3 DRAM Applications 11 2.1.2.4 Decoupling Capacitors in MPU . 12 2.1.2.5 Capacitor Array . 12 Parameters of RF/MS Capacitors 14 2.2.1 Dielectric Constant and Capacitance Density 14 2.2.2 Temperature Coefficient of Capacitance (TCC) 15 2.2.3 Frequency Effect 15 2.2.4 Bias Effect and Voltage Linearity 16 2.2.5 Leakage Current . 19 vi Contents 2.2.6 Dissipation Factor . 20 2.2.7 Compatibility with BEOL Integration 20 2.3 International Technology Roadmap for Semiconductors (ITRS) . 21 2.4 Technology Trends and Challenges 23 References . 25 CHAPTER HfO2 AND LANTAHANIDE-DOPED HfO2 FOR HIGH PERFORMANCE MIM CAPACITORS 3.1 Introduction 31 3.2 PVD HfO2 MIM Capacitors .32 3.3 3.2.1 Device Fabrication .32 3.2.2 Capacitance Density and Leakage Current 33 3.2.3 Voltage Linearity and Capacitance Density 35 Lanthanide-doped HfO2 for High Density MIM Capacitors .37 3.3.1 Tb-doped HfO2 by Co-sputtering 38 3.3.2 Capacitance Density and Leakage Current 40 3.3.3 Tb Concentration and Voltage Linearity .42 3.3.4 Properties at High Temperature .45 3.4 Benchmark .47 3.5 Summary 48 References 49 CHAPTER ALD HfO2 AND Al2O3 MULTILAYERED DIELECTRICS FOR HIGH PERFORMANCE ANALOG CAPACITORS 4.1 4.2 4.3 ALD HfO2-Al2O3 Laminate MIM Capacitors .52 4.1.1 Device Fabrication .53 4.1.2 Capacitance Density and Voltage Linearity 54 4.1.3 Leakage Current and Reliability 56 HfO2-Al2O3 Laminate vs. Sandwich Structure 59 4.2.1 Experimental Conditions .59 4.2.2 Results and Discussions 60 Summary 67 References 68 vii Contents CHAPTER HfO2/SiO2 STACKED DIELECTRICS FOR HIGH PRECISION ANALOG/MIXED-SIGNAL CAPACITORS 5.1 Introduction 72 5.2 Principle and Experiments .73 5.3 Results and Discussion 76 5.3.1 Voltage Dependence of Capacitance .76 5.3.2 Frequency and Temperature Dependence of Capacitance 80 5.3.3 Leakage Current Characteristics 82 5.4 Benchmark .84 5.5 Process Window and Extendibility 85 5.6 Summary 88 References 89 CHAPTER NIOBIUM OXIDE BASED MIM CAPACITORS FOR HIGH CAPACITANCE DENSITY APPLICATIONS 6.1 Introduction 92 6.2 DC Properties .93 6.3 6.2.1 Experiments .93 6.2.2 Capacitance and Leakage Current .95 6.2.3 Thin Film Properties of Nb2O5 99 RF Characteristics 102 6.3.1 Device Fabrication and RF Characterization 102 6.3.2 RF Properties of Nb2O5-based MIM Capacitor .105 6.4 High-ĸ MIM Capacitors in Cu/Low-ĸ BEOL 109 6.5 Summary 112 References 113 CHAPTER CONCLUSIOINS 7.1 Summary and Conclusions 116 7.2 Future Research Trend .118 APPENDIX – LIST OF PUBLICATIONS 119 viii List of Figures LIST OF FIGURES Fig. 1.1 Process portfolio for system-on-a-chip (SOC). Fig. 1.2 Integration of passive components in a single chip results in significant reduction of system size and removes reliability concerns related to soldered joints. Fig. 2.1 (a) Typical MIM capacitor structure in Al metallization line and its location in multi-layer metallization lines. (b) An example of MIM capacitor in DRAM application. Fig. 2.2 Cross sectional view of digital-analog mixed-signal circuit, where MIM capacitor is integrated in the Cu back-end-of-the-line. Fig. 2.3 Charge scaling digital-to-analog converter (DAC) architecture. 13 Fig. 2.4 Layout of the capacitor array using unit capacitor configuration. 13 Fig. 2.5 Effect of frequency on the dielectric constant of paraelectric and ferroelectric materials. 16 Fig. 2.6 Effect of bias on the dielectric constant of paraelectric and ferroelectric materials. 17 Fig. 2.7 Voltage dependence of the MIM capacitor using 62nm thick Ta2O5. 18 Fig. 2.8 Transfer curves of analog-to-digital converter (ADC) with and without quadratic voltage coefficient (QVC) error. 19 Fig. 3.1 TEM picture showing the 16.4 nm and 27.1 nm thick HfO2 films. 32 Fig. 3.2 Capacitance densities of MIM capacitors using three different HfO2 thicknesses. Densities from 4.7 up to 8.1 fF/µm2 have been achieved. 33 Fig. 3.3 Leakage currents for 16.4 nm and 27.1 nm thick HfO2 MIM capacitors, which are low enough for most RF and analog applications. The requirements for specific applications are indicated with dashed lines. 34 Fig. 3.4 Performance comparison with results in recent publication. The hatched area is where both the capacitance and the leakage current densities meet the ITRS requirement. 35 Fig. 3.5 (a) Quadratic VCC, α, versus HfO2 thickness. HfO2 thicker than 42 nm is required to meet the requirement of 100 ppm/V2. (b) Capacitance density versus the reciprocal of dielectric thickness. 36 Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 106 Capacitance Density (fF/µm ) 20 Nb2O5-based MIM 18 17.1 fF/µm 16 15.0 fF/µm 14 HfO2/SiO2 MIM 6.9 fF/µm 5.4 fF/µm 4.1 fF/µm 10M 100M 1G Frequency 10G Fig. 6.15 Extracted Cdensity (up to 20 GHz) of Nb2O5-based MIM and HfO2/SiO2 MIM. HfO2/SiO2 MIM 200 Nb2O5-based MIM 20 150 15 100 10 HfO2/SiO2 MIM 4.1 fF/µm 5.4 fF/µm 6.9 fF/µm 50 Q factor Resonant Frequency (GHz) 25 Nb O -based MIM 15.0 fF/µm 17.1 fF/µm 10 Capacitance @ GHz (pF) Fig. 6.16 Comparison between Nb2O5-based MIM and HfO2/SiO2 MIM in terms of resonant frequencies (with three different capacitor sizes) and Q factors (with a fixed size). Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 107 The circuit model used for simulation and extraction of Cdensity is shown in Fig. 6.17 [6.6]. In this model, C is the core capacitance while Rp is the resistance of the high-ĸ layer. The series inductances in the metal line from probing pads to top and bottom capacitor plates are denoted as LS1 and LS2, respectively. The series resistances in both top and bottom lead lines are summed as RS. CIMD1 and CIMD2 represent the capacitances between top/bottom electrodes and silicon substrate. CSi1, CSi2, RSi1 and RSi2 are the capacitances and resistances that exist within the silicon substrate. CIMD1, CIMD2 CSi1, CSi2, RSi1, and RSi2 are de-embedded by measuring the dummy structure shown in Fig. 6.12(b). Part of LS1 and LS2, from the probe pad to lead, are also de-embedded. The simulated s11 and s21 parameters show excellent agreement with the measured ones, as displayed in Smith charts in Figs. 13(a) and (b), indicating the model used in this work can accurately predict the behavior of MIM capacitors in the RF range up to 20 GHz. RP LS1 RS LS2 CIMD1 CIMD2 C CSi1 RSi1 CSi2 RSi2 Fig. 6.17 Equivalent circuit model for capacitor simulation at RF range. C is the core capacitor, Rp is the high-ĸ layer resistance, LS1, LS2, RS are lead line inductances and resistance, CIMD1, CIMD2 are IMD layers capacitances, CSi1, CSi2, RSi1 and RSi2 are silicon substrate capacitances and resistances. Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 108 1.0j 0.5j 2.0j Nb2O5-MIM 17.1 fF/µm S21 0.2j 5.0j -0.2j -5.0j S11 Measured Simulated -0.5j -2.0j -1.0j (a) 1.0j 2.0j 0.5j Nb2O5-MIM 15.0 fF/µm S21 5.0j 0.2j S11 -0.2j Measured Simulated -0.5j -5.0j -2.0j -1.0j (b) Fig. 6.18 Smith chart display of measured and simulated s11 and s21 parameters of (a) 17.1 fF/µm2 and (b) 15.8 fF/µm2 Nb2O5-based MIM capacitors. Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 6.4 109 HIGH-ĸ MIM CAPACITORS IN Cu/LOW-ĸ BEOL In this last section, the performance of high-ĸ MIM capacitors is studied in comparison with standard Si3N4 MIM capacitor after integration into Cu/low-ĸ interconnect technology. The process sequence is the same as that described in section 6.3.2, but the USG (SiO2) IMD is replaced by low-ĸ dielectric (Black-DiamondTM, ĸ ~ 2.9). HfO2Al2O3 laminate MIM capacitor developed in Chapter is chosen for this comparative study, and the effect of the different IMD (SiO2 vs. low-ĸ Black-DiamondTM) on the RF properties of high-ĸ MIM capacitors is studied as well. Capacitance (pF) 200 SiN 11.2 pF High k 11.7 pF 100 -100 -200 10 12 14 16 18 20 Frequency (GHz) Fig. 6.19 Capacitance vs. frequency curves for similar capacitance values of high-ĸ and Si3N4-MIM capacitors in Cu/low-ĸ (Black-DiamondTM). Figure 6.19 shows the capacitance versus frequency curves for a similar capacitance value of high-ĸ and Si3N4 MIM capacitors, both on Cu/low-ĸ BEOL. It clearly illustrates that in spite of similar capacitance values, high-k MIM capacitor have about Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 110 21% improvement in fr over that from the Si3N4-MIM capacitor. In this case, the measured capacitance values are 11.7 pF for the high-ĸ capacitor with an area of 29.5×29.5 µm2 and 11.2 pF for Si3N4 with area of 109.6×109.6 µm2. So the capacitance values are roughly the same, but the areas were about 13.8 times different. Due to its very high capacitance density, the high-ĸ MIM capacitor can reduce the capacitor area, and hence it reduces the inherent inductance associated with the top and bottom capacitor plates of the high-ĸ MIM capacitor. Meanwhile, no significant difference in fr was observed when Cu/low-ĸ and Cu/USG-based BEOL structures are compared using the same high-ĸ MIM capacitors. It is inferred that the area of the MIM capacitor plays a major role, rather than ĸ-value in underneath BEOL, in determining fr behaviors. The equivalent circuit for a twoport RF capacitor was described in Fig. 6.17, in which all the parasitic resistors, inductors and capacitors of Cu and dielectrics, as well as the silicon substrate, are included. The simulation results indicated that the contribution from the change of capacitance due to different back-end interconnect schemes to the change of fr is limited. For instance, the parasitic capacitances associated with IMDs (CIMD1&2) from back-end interconnects under high-ĸ MIM capacitor were about 13.8 times lower compared with the one under the Si3N4 MIM capacitor, but the impact on fr is as small as only 0.6% based on the model simulation. Therefore, a 30% reduction in ĸ-value by replacing SiO2 with Black-DiamondTM contributes minimal improvement in terms of resonant frequency. This explains why not much difference in frs was observed for the same high-ĸ MIM capacitors on standard undoped silicate glass (USG)-based BEOL versus on low-ĸ based BEOL. Nevertheless, it is found that the extracted total inherent inductance LS1&2 for the high-ĸ MIM capacitor was reduced by about 46% from that of the Si3N4 MIM capacitor. Based on model simulations, this inductance reduction Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 111 mainly contributed to the increment of fr, about 21% compared to the case of the Si3N4 MIM capacitor. The Smith charts in Figs. 6.20(a) and (b) display measured and simulated s-parameters obtained for high-ĸ and Si3N4-based MIM capacitors, respectively. As shown in the figure, measured and simulated s11 and s21 parameters are in good agreement in the frequency range of 50 MHz to 20 GHz for both high-ĸ and Si3N4-based MIM capacitors. In brief, while the contribution from the lowering of the ĸ value of the IMD in BEOL is negligible, the resonant frequency of a MIM capacitor can be improved by replacing Si3N4 with high-ĸ dielectrics, since the smaller area needed for the same capacitance lowers the parasitic inductance associated with top and bottom capacitor plates. 1.0j 1.0j 2.0j 0.5j 0.5j 2.0j S21 S21 5.0j 0.2j 0.2 -0.2j High-K MIM Measured Simulated -0.5j 0.5 1.0 2.0 -5.0j -2.0j (a) 5.0j 0.2 5.0 S11 -1.0j 0.2j -0.2j 0.5 1.0 2.0 5.0 -5.0j S11 SiN MIM Measured -0.5j S11 Simulated -2.0j -1.0j (b) Fig. 6.20 Smith chart display of measured and simulated s11 and s21 parameters of (a) Si3N4 and (b) HfO2-Al2O3 laminate MIM capacitors. Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 6.5 112 SUMMARY A novel MIM capacitor using a new high-ĸ material, Nb2O5, whose ĸ value is higher than 40 is demonstrated. HfO2/Al2O3 double-layered barriers effectively suppress the leakage currents of the Nb2O5 MIM capacitor, without significant sacrifice in capacitance density. As a result, the device is able to deliver high capacitance density of 17 fF/µm2 with excellent reliability and RF properties, which is suitable for future RF bypass or decoupling capacitors in RF ICs. In addition, the advantage in RF performance when high-ĸ replaces conventional Si3N4 in MIM capacitors is demonstrated by comparing HfO2-Al2O3 laminated MIM capacitor with a Si3N4 counterpart in a Cu/low-ĸ back-end interconnection scheme. Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 113 REFERENCES [6.1] The International Technology Roadmap for Semiconductors, Semicond. Ind. Assoc., 2003. [6.2] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “HfO2 and lanthanide-doped HfO2 MIM capacitors for RF/mixed IC applications,” in Proc. Symp. VLSI Technol., 2003, pp. 77-78. [6.3] C. T. Black, K. W. Guarini, Y. Zhang, H. Kim, J. Benedict, E. Sikorski, I. V. Babich, and K. R. Milkove, “High-capacity, self-assembled metal-oxidesemiconductor decoupling capacitor,” IEEE Electron Device Lett., vol. 25, no. 9, pp. 622-624, Sep. 2004. [6.4] S. J. Kim, B. J. Cho, M. F. Li, S. –J. Ding, M. B. Yu, C. Zhu, A. Chin, and D. -L. Kwong, “Engineering of voltage nonlinearity in high-ĸ MIM capacitors for analog/mixed-signal ICs,” in Proc. Symp. VLSI Technol., 2004, pp. 218219. [6.5] T. Ishikawa, D. Kodama, Y. Matsui, M. Hiratani, T. Furusawa, and D. Hisamoto, “High-capacitance Cu/Ta2O5/Cu MIM structure for SoC applications featuring a single-mask add-on process,” in IEDM Tech. Dig., 2002, pp. 940-944. [6.6] H. Hu, S. J. Ding, H. F. Lim, C. Zhu, M. F. Li, S. J. Kim, X. F. Yu, J. H. Chen, Y. F. Yong, B. J. Cho, D. S. H. Chan, S. C. Rustagi, M. B. Yu, C. H. Tung, A. Du, D. My, P. D. Foo, A. Chin, and D. -L. Kwong, “High performance ALD HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,” in IEDM Tech. Dig., 2003, pp. 379-382. Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL [6.7] 114 M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, B. J. Cho, M. F. Li, and D. -L. Kwong, “Very high density RF MIM capacitors (17 fF/µm2) using high-ĸ Al2O3 doped Ta2O5 dielectrics,” IEEE Microwave Wireless Comp. Lett., vol. 13, pp. 431-433, Oct. 2003. [6.8] C. Schnitter, U. Merker, and A. Michaelis, “New niobium based materials for solid electrolyte capacitors,” 23rd Capacitor and Resistor Technology Symposium (CARTS 2003), Mar. 2003, S1-2. [6.9] V. Fischer, H. Stormer, D. Gerthsen, M. Stenzel, H. Zillgen, and E. IversTiffee, “Niobium as new material for electrolyte capacitors with nanoscale dielectric oxide layers,” in Proc. 7th Int. Conf. Properties and Applications of Dielectric Materials., Jun. 2003, S20-2. [6.10] Y. Matsui, M. Hiratani, I. Asano, and S. Kimura, “Niobia-stabilized tantalum pentoxide (NST): novel high-ĸ dielectrics for low-temperature process of MIM capacitors,” in IEDM Tech. Dig., 2002, pp. 225-228. [6.11] Y. Matsui, M. Hiratani, and I. Asano, “A 500ºC fabrication process for MIM capacitors – based on a Ta2O5/Nb2O5 bilayer with high permittivity – for DRAM and SoC applications,” Int. Conf. Solid State Devices and Materials, 2004, pp. 760-761. [6.12] X. Yu, C. Zhu, H. Hu, A. Chin, M.F. Li, B. J. Cho, D.-L. Kwong, P.D. Foo, M. B. Yu, “A high-density MIM capacitor (13fF/µm2) using ALD HfO2 dielectrics”, IEEE Electron Device Lett., Vol. 24, pp. 63-65, Feb. 2003. [6.13] Y. L. Tu, H. L. Lin, L. L. Chao, D. Wu, C. S. Tsai, C. Wang, C. F. Huang, C. H. Lin, J. Sun, “Characterization and comparison of high-k metal-insulator- Chapter Nb2O5-based RF Bypass Capacitor & High-ĸ MIMs in Cu-BEOL 115 metal (MiM) capacitors in 0.13µm Cu BEOL for mixed-mode and RF applications”, in Symp. VLSI Technology Dig. Tech. Papers, 2003, pp.79-80. [6.14] Y. K. Jeong, S. J. Won, D. J. Kwon, M. W. Song, W. H. Kim, M. H. Park, J. H. Jeong, H. S. Oh, H. K. Kang, and K. P. Suh, “High quality high-ĸ MIM capacitor by Ta2O5/HfO2/Ta2O5 multi-layered dielectric and NH3 plasma interface treatment for mixed-signal/RF application,” in Symp. VLSI Technology Dig. Tech. Papers, 2004, pp.222-223. [6.15] A. Pignolet, G. Mohan Rao, and S. B. Krupanidhi, “Rapid thermal processed thin films of niobium pentoxide (Nb2O5) deposited by reactive magnetron sputtering,” Thin Solid Film, vol. 261, pp. 18-24, 1995. [6.16] S. -H. Oh, J. -H. Chung, J. -H. Choi, C. -Y. Yoo, Y. S. Kim, S. T. Kim, U. -I. Chung, and J. T. Moon, “TiN/HfO2/TiN capacitor technology applicable to 70 nm generation DRAM,” in Proc. Symp. VLSI Technol., 2003, pp. 73-74. █ CHAPTER Conclusions 7.1 SUMMARY AND CONCLUSIONS In this thesis, a series of novel high-ĸ MIM capacitors are developed for RF/mixedsignal IC applications. The feasibility of a HfO2-based MIM capacitor was investigated using the dielectrics deposited by a PVD method followed by development of ALD HfO2-Al2O3 laminate structure for better DC properties and reliability. Although these two types of capacitors have shown high potential for RF and analog circuit applications, even longer term solutions are anticipated as the technology requirements keep increasing. To reply to this challenge, a HfO2/SiO2 stacked dielectric MIM capacitor is proposed for the long-term precision analog capacitor application, while higher-ĸ Nb2O5 capacitors with barriers are developed for the long-term high capacitance density RF bypass application. The MIM capacitors using reactively sputtered HfO2 are described in Chapter 3. The capacitance densities and the leakage current characteristics satisfy the ITRS requirements for analog capacitors up to year 2007, indicating that the HfO2–based dielectrics are promising candidates for precision MIM capacitors. In addition, it has been demonstrated that lanthanide doping into HfO2 can alleviate the two undesirable properties of thin dielectric MIM capacitors; higher leakage current and poor Chapter Conclusions 117 capacitance linearity. As a result, a high capacitance density of 13.3 fF/µm2 is demonstrated with an MIM capacitor using thin Tb-doped HfO2, which is suitable for future RF bypass capacitor applications. A more advanced dielectric deposition technique, atomic layer deposition (ALD), is introduced in Chapter 4, and HfO2-Al2O3 laminate MIM capacitors are demonstrated for RF/MS circuit applications. Compared with various high-κ MIM capacitors, the laminate MIM capacitor exhibits superior electrical characteristics such as high capacitance density at RF regime, low leakage current and linear VCC, high breakdown field as well as promising device reliability. In addition, the performances of MIM capacitors with HfO2-Al2O3 laminated and sandwiched dielectrics are compared for analog circuit applications. The laminate MIM capacitors exhibit superior performances to the sandwich counterparts, including lower leakage current, higher EBD, longer time-to-breakdown, and polarity-independent electrical properties. It is found that the alternate insertions of Al2O3 layers effectively suppress HfO2 crystallization, and interrupt grain boundary channels extending from the top to the bottom, and can achieve good interfacial quality near the bottom electrode. It is demonstrated in Chapter that a well-engineered HfO2/SiO2 stacked dielectric MIM capacitor can achieve a high capacitance while achieving small VCC values by active compensation of positive and negative VCC values in HfO2 and SiO2 MIM capacitors. The compensation effect of HfO2 and SiO2 stack structure is also observed in TCC values, and such a combination is advantageous for leakage current properties as well, compared to thicker single high-ĸ dielectric counterparts. These results support that high-ĸ/SiO2 MIM capacitor can be a long-term solution to analog/mixed-signal capacitor technology. Chapter Conclusions 118 Finally in Chapter 7, an MIM capacitor using a new high-ĸ material, Nb2O5, whose ĸ value is higher than 40, is demonstrated. In combination with HfO2/Al2O3 barriers, the MIM capacitor can deliver a high capacitance density of 17 fF/µm2 with excellent reliability and RF properties, which is suitable for long-term RF bypass or decoupling capacitors. Furthermore, the advantage in the RF application, i.e. higher resonant frequency, gained by replacing conventional Si3N4 MIM capacitors with high-ĸ MIM capacitors is demonstrated in Cu/low-ĸ back-end technology. In conclusion, this thesis has identified the bottlenecks in the RF/mixed-signal MIM capacitor technology, and successfully provided timely and valuable solutions to the relevant industries and research groups. 7.2 Future Research Trend While optimizing HfO2 based MIM capacitors presented in this thesis would remain as the main research trend, the followings are feasible alternatives: For high precision capacitors, the multiple stack structure consisting of Ta2O5, such as Ta2O5/HfO2/Ta2O5, would draw substantial attentions, as Ta2O5 is known for its better voltage linearity compared to other high-κ materials. However, they would be useful for the industry only when there are significant improvement in the leakage current issue of Ta2O5 based MIM capacitor. There are much works to be done to obtain high quality Ta2O5 film with low process temperature condition. For bypass or decoupling capacitors, high dielectric constant TiO2 based materials, familiar to the industry, would be considered after HfO2 or Ta2O5 based MIM capacitors. Adding foreign materials such as Al, Ta or lanthanide series materials to TiO2 would be one of the process options to reduce the high leakage current of TiO2 based MIM capacitors. 119 List of Publications APPENDIX – List of Publications Journal Papers 1. S. J. Kim, B. J. Cho, M. B. Yu, M. F. Li, Y. -Z. Xiong, C. Zhu, A. Chin, and D. -L. Kwong, “Metal-insulator-metal RF bypass capacitor using niobium oxide (Nb2O5) with HfO2/Al2O3 barriers,” IEEE Electron Device Letters, vol. 26, no. 9, p. 625, Sept. 2005. 2. S. -J. Ding, H. Hu, C. Zhu, M. F. Li, S. J. Kim, B. J. Cho, D. S. H. Chan, M. B. Yu, A. Y. Du, A. Chin, and D. -L. Kwong, “Evidence and understanding of ALD HfO2-Al2O3 laminate MIM capacitors outperforming sandwich counterparts,” IEEE Electron Device Letters, vol. 25, no. 10, p. 681, Oct. 2004. 3. S. J. Kim, B. J. Cho, M. F. Li, S. -J. Ding, C. Zhu, M. B. Yu, B. Narayanan, A. Chin, and D. -L. Kwong, “mprovement of voltage linearity in high-ĸ MIM capacitors using HfO2/SiO2 stacked dielectric,” IEEE Electron Device Letters, vol. 25, no. 8, p. 538, Aug. 2004. 4. S. -J. Ding, H. Hu, H. F. Lim, S. J. Kim, X. Yu, C. Zhu, M. F. Li, B. J. Cho, D. S. H. Chan, M. B. Yu, S. C. Rustagi, A. Chin, and D. -L. Kwong, “RF, DC and relaibility characteristics of atomic layer deposited HfO2-Al2O3 laminate MIM capacitors for Si RF IC applications,” IEEE Transaction on Electron Devices, vol. 51, no. 6, p. 886, Jun. 2004. 5. Y. Q. Wang, J. H. Chen, W. J. Yoo, Y. C. Yeo, S. J. Kim, R. Gupta, Z. Tan, D. -L. Kwong, A. Y. Du, and N. Balasubramanian, “Formation of Ge nanocrystals in HfAlO high-ĸ dielectric and application in memory device,” Applied Physics Letter, vol. 84, no. 26, p. 5407, Jun. 2004. 6. S. -J. Ding, H. Hu, H. F. Lim, S. J. Kim, X. F. Yu, C. X. Zhu, M. F. Li, B. J. Cho, D. S. H. Chan, S. C. Rustagi, M. B. Yu, A. Chin, and D. -L. Kwong, “High performance MIM capacitor using ALD high-ĸ HfO2-Al2O3 laminate dielectrics,” IEEE Electron Device Letters, vol. 24, no. 12, p. 730, Dec. 2003. 7. S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “Lanthanide (Tb)doped HfO2 for high density MIM capacitors,” IEEE Electron Device Letters, vol. 24, no. 7, p. 442, Jul. 2003. 8. S. J. Kim, B. J. Cho, M. F. Li, X. Yu, C. Zhu, A. Chin, and D. -L. Kwong, “PVD HfO2 for high precision MIM capacitor applications,” IEEE Electron Device Letters, vol. 24, no. 6, p. 387, Jun. 2003. List of Publications 120 Conference Papers 1. S. J. Kim, B. J. Cho, M. B. Yu, M. F. Li, Y. -Z. Xiong, C. Zhu, A. Chin, and D. -L. Kwong, “Niobium Oxide (Nb2O5) as a high-ĸ dielectric for RF IC application,” Int. Conf. on Materials for Advanced Technologies (ICMAT-2005), Materials Research Society, Singapore, Jul. 2005. 2. S. J. Kim, B. J. Cho, M. B. Yu, M. F. Li, Y. -Z. Xiong, C. Zhu, A. Chin, and D. -L. Kwong, “High capacitance density (> 17 fF/µm2) Nb2O5-based MIM capacitors for future RF IC applications,” 2005 Symposium on VLSI Technology, p.50, Kyoto, Japan, Jun. 2005. 3. B. J. Cho, S. J. Kim, M. F. Li, and M. B. Yu, “Hafnium-oxide-based high-ĸ metalinsulator-metal capacitors (MIMCAPs) for RF/analog CMOS technologies,” 2004 AsiaPacific Microwave Conference (APMC), New Deli, India, Dec. 2004 (invited). 4. S. -J. Ding, H. Hu, C. Zhu, S. J. Kim, X. F. Yu, M. F. Li,B. J. Cho, A. Chin, and D. -L. Kwong, “A comparison study of high density MIM capacitors with ALD HfO2-Al2O3 laminated, sandwiched and stacked dielectrics,” 7th International Conference on Solid State and Integrated Circuits Technology (ICSICT), Beijing, China, Oct. 2004. 5. S. J. Kim, B. J. Cho, M. F. Li, S. J. Ding, M. B. Yu, C. Zhu, A. Chin, and D. -L. Kwong, “Engineering of voltage nonlinearity in high-ĸ MIM capacitors for RF/mixed-signal ICs,” Symposium on VLSI Technology, p. 218, Honolulu, Hawaii, USA, Jun. 2004 (Best Student Paper Award). 6. S. J. Kim, B. J. Cho, M. F. Li, S. J. Ding, M. B. Yu, C. Zhu, A. Chin, and D. -L. Kwong, “Engineering of voltage nonlinearity in high-ĸ MIM capacitors for RF/mixed-signal ICs,” Symposium on Microelectronics, T3_2.2, Singapore, Jun. 2004 (Best Presentation Award). 7. C. Zhu, H. Hu, X. Yu, S. J. Kim, A. Chin, M. F. Li, B. J. Cho and D. -L. Kwong, “Dependences of VCC (Voltage Coefficient of Capacitance) of high-ĸ HfO2 MIM capacitors: a unified understanding and prediction,” Int. Electron Devices Meeting (IEDM), p. 879, Washington D. C., USA, Dec. 2003. 8. H. Hu, S. J. Ding, H. F. Lim, C. Zhu, M.F. Li, S. J. Kim, X. F. Yu, J. H. Chen, Y. F. Yong, B. J. Cho, D.S.H. Chan, S. C. Rustagi, M. B. Yu, C. H. Tung, A. Du, D. My, P. D. Fu, A. Chin, and D. -L. Kwong, “High performance HfO2-Al2O3 laminate MIM capacitors by ALD for RF and mixed signal IC applications,” Int. Electron Devices Meeting (IEDM), p. 379, Washington D. C., USA, Dec. 2003. List of Publications 9. 121 S. J. Kim, H. F. Lim, H. Hu, X. F. Yu, H. Y. Yu, B. J. Cho, M. F. Li, C. X. Zhu, A. Chin, and D. -L. Kwong, “Properties of PVD Hafnium oxide films in metal-insulator-metal structure and the role of HfN barrier at dielectric/metal interface,” Int. Conf. on Materials for Advanced Technologies (ICMAT-2005), Materials Research Society, Singapore, Dec. 2003. 10. S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “HfO2 and lanthanide-doped HfO2 MIM capacitors for RF/Mixed IC applications,” Symposium on VLSI Technology, p. 77, Kyoto, Japan, Jun. 2003. Patent 1. S. J. Kim, B. J. Cho, M. F. Li, and M. B. Yu, “A Method of Fabricating Metal-InsulatorMetal (MIM) Capacitor Using Lanthanide-Doped HfO2,” U.S. Patent (Pub. No.: US 2004/0245602 A1), pending. [...]... HfO2-Al2O3 laminate MIM capacitors xiv List of Tables LIST OF TABLES Table 2.1 Mixed- signal Capacitor Technology Requirements ― Short-term 22 Table 2.2 Mixed- signal Capacitor Technology Requirements ― Long-term 22 Table 2.3 Desired device requirements for on-chip capacitors for RF and mixed- signal applications 23 Table 3.1 Comparison of DC performance of recently reported high- ĸ MIM capacitors 47 Table... specifications required for RF/ MS circuits [1.6], which will be discussed in detail in the following chapter This initiated the current research topic, high- ĸ metal- insulator -metal (MIM) capacitors for RF/ MS circuit applications Despite rapidly growing demands, the progress and development pace with high- ĸ dielectrics in RF/ MS MIM capacitors have not been as fast as those in DRAM cell capacitors, as the system... 5% is high [2.9] Generally, very low dissipation factors are desired for RF applications in which signal losses must be avoided, but much higher values can be tolerated for energy storage applications such as de-coupling capacitors 2.2.7 Compatibility with BEOL Integration The fabrication of the capacitors needs to be compatible with existing ULSI backend processes Thus, a high quality dielectric must... of SOC technology, the industry is now suffering from a lack of information on the feasibility and the performance of high- ĸ MIM capacitors from the view point of RF/ MS IC applications This thesis explores and provides various midand long-term solutions to RF/ MS capacitors by developing and demonstrating novel high- ĸ dielectrics suitable for RF/ MS SOC technology 1.3 THESIS OUTLINE AND ORIGINAL CONTRIBUTIONS... increasing thickness of high- ĸ layer (b) This is desirable for leakage current as well With same capacitance density, VC can be even higher than that of thick single layer HfO2 MIM capacitors 85 List of Figures xii Fig 5.15 (a) Process window: at a given HfO2 thickness of 12 nm, any SiO2 thickness between 3.3 to 6.5 nm will meet both Cdensity and VC requirements (b) Those thicknesses will also keep VCC... to exhibit high dielectric constants, otherwise their ĸ values are no higher than typical paraelectrics The dielectric constant reported for ferroelectrics is highly dependent on processing and measurement conditions, but the values reported for paraelectrics are only weakly dependent on how they are fabricated or how the ĸ values are measured, and represent typically achieved values, making themselves... interface properties Schottky emission related currents can be significantly reduced by using high work function materials such as Pt [2.3], [2.19], which are common to Chapter 2 Literature & Technology Review 20 DRAM cell capacitors However, most efforts in the present thesis are focused on improving bulk (or bulk adjacent to metal electrodes) resistivity since the electrode material for RF IC MIM capacitors. .. various high capacitance density MIM capacitors using high- ĸ dielectrics 58 █ CHAPTER 1 Introduction 1.1 RF AND MIXED- SIGNAL TECHNOLOGIES The explosive growth of the internet and wireless communications market has been served by radio frequency (RF) /mixed- signal (MS) technologies RF/ MS chips are those that at least partially deal with input signals whose precise values matter This broad class includes RF, ... negative bias due to the asymmetric barrier shape 83 Fig 5.13 Increasing SiO2 thickness causes on-set of Frenkel-Poole type conduction at higher voltage Except H/S-1 in which SiO2 is in direct tunneling regime, the critical voltage VC (the bias which keeps Jleak < 10nA/cm2) is higher than 3.3 V 83 Fig 5.14 Comparison of the HfO2/SiO2 stack MIM with other high- ĸ MIM capacitors (a) Adding SiO2 layer... suitable for most analog applications Nevertheless, it should be remembered that ferroelectrics might start with such a high ĸ that, even at GHz frequency, they may still have a higher dielectric constant 1.2 SiO2, Al2O3, Ta2O5 K / K at 100 Hz 1.0 0.8 PZT BaTiO3 0.6 BST 0.4 0.2 0.0 1 10 100 1k 1 0k 10 0k 1M 10M 100M 1G Frequency (Hz) Fig 2.5 Effect of frequency on the dielectric constant of paraelectric and . Founded 1905 High- κ Metal- Insulator -Metal (MIM) Capacitors for RF/ Mixed- Signal IC Applications KIM SUN JUNG M. Eng. A THESIS SUBMITTED FOR THE DEGREE OF. 3.3 Leakage currents for 16.4 nm and 27.1 nm thick HfO 2 MIM capacitors, which are low enough for most RF and analog applications. The requirements for specific applications are indicated. HIGH- ĸ METAL- INSULATOR -METAL (MIM) CAPACITORS FOR RF/ MIXED- SIGNAL IC APPLICATIONS KIM SUN JUNG NATIONAL

Ngày đăng: 16/09/2015, 15:54

TỪ KHÓA LIÊN QUAN