Smart Material Systems and MEMS - Vijay K. Varadan Part 12 ppsx

30 239 0
Smart Material Systems and MEMS - Vijay K. Varadan Part 12 ppsx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

turned out to be difficult to make the connection between the second metal layer with the first one through the via holes. Two means were used in the following steps to solve this problem. First, during the second metal-deposition step, the sample was rotated around the top of the evapo- rator by using a rotatable sample holder. Therefore, the sidewalls of the via holes could be covered with metal. Secondly, in the following lithography step, a thick positive photoresist, AZ 4620, supplied by AZ Electronic Materials, was used to provide good step coverage over the already patterned features. In order to protect the metal deposited on the sidewalls of the via holes, the resist was dispersed on the surface at a low spin speed. However, since this photoresist is much more viscous than the commonly used Shipley 1800 series photoresist, it is difficult to obtain a uniform layer of AZ 4620 at a low spin speed. After experimentation, it was found that a well-coated surface could be obtained by dispersing the photoresist at a spin speed of 2000 rpm. The processing of AZ 4620 is very similar to that for normal positive photoresists, except that it requires longer times for soft bake and development. For a layer PVDF SiO 2 SiO 2 SiO 2 p-Si SiO 2 SiO 2 SiO 2 Source Gate SU-8 p-Si p-Si p-Si p-Si p-type silicon substrate (1) Grow field oxide (3) Phosphorus predeposition (5) Open gate windows (mask 2) (7) Open contact windows (mask 3) (9) Deposite and pattern SU-8 (mask 5) (11) Glue PVDF onto the chi p SiO 2 SiO 2 SiO 2 SiO 2 p-Si (2) Open S/D diffusion windows (mask 1) (4) Phosphorus drive-in (6) Grow thin gate oxide (8) Deposite and pattern metal A1 (mask 4) (10) Deposite and pattern A1 for sensing pad (mask 6) p-Si p-Si p-Si Source Gate Drain Gate oxide Source Gate Drain Source Gate Drain Figure 13.8 Process flow for a PVDF-based MEMS hydrophone. Fabrication Examples of Smart Microsystems 331 spin-coated at 2000 rpm with a thickness of 10 mm, both the soft bake and development times are about 3 min. The developer for this photoresist is a mixture of one part of AZ400K with four parts of deionized water. After the PVDF is mounted onto the extended elec- trode of the device, the chip was glued onto a package and wire-bonded. Since the hydrophone is used for underwater acoustic signal detection, choosing the proper material as the encapsulant is very important. This material should be an insulator with its acoustic impe- dance close to that of water. In addition, this material is also expected to have excellent chemical as well as water resistance. One class of materials often used to transmit underwater sound signals are called Rho-C materials. The acoustic impedance of these Rho-C materials is quite close to that of water. Therefore, using these materials as the encapsulant can reduce the sound reflection at the water/encapsulant interface. To prepare the samples, the two components were first thoroughly mixed in the proper ratio for each material system. For Conathane EN-4/8, the ratio is 100 g Part A (EN-8) to 17.5 g Part B (EN-4), while for Conathane EN-1556, the ratio is 100 g Part A to 33 g Part B. In order to get rid of the bubbles generated during the mixing process, both materials were degassed under vacuum for about 4–5 min. Then, the mixtures were poured into two identical aluminum molds with an inner diameter of 6.35 cm and cured at 30  C for more than 16 h until they solidified. It has been found that the device encapsulated by Rho- C rubber loses its function after a few days (usually less than one week). The reason could be that the mobile ions penetrate through the SU-8 layer and contaminate the MOSFET. In order to protect the MOSFET from con- tamination, a SiN passivation layer was deposited and patterned right after the pattern of the first metal layer and followed by the spin-casting of the SU-8. Therefore, a total of seven masks was needed in the whole process. SiN is a good barrier material to most mobile ions and solvents. A cross-section of the final structure of the hydrophone device is shown in Figure 13.9. The system used for the deposition of SiN is a Vactronic four-chamber plasma-enhanced chemical vapor deposition (PECVD) system. SiN (300 nm) was deposited at 250  C with NH 3 flowing at a rate of 40 sccm (standard cubic centimeter per minute) and SiH 4 flowing at a rate of 4 sccm. The processing pressure is 500 m torr and the plasma power density is 16.5 mW/cm 2 . After lithography, SiN was etched in a solution containing one part of BOE (‘Buffered Oxide Etch’) solution and three parts of deionized water. The passivated devices were encapsulated by Rho-C rubber. No performance degradation was observed when the devices were examined after two weeks. This shows that SiN passivation is necessary and effective for increasing the reliability of the MOSFET. The experimental set-up for the hydrophone is shown in Figure 13.10. The sensitivities of devices being devel- oped with various configurations are listed in Table 13.1. MOS1 and MOS2 refer to two different W/L ratios, of 500 and 100, respectively. It can be seen from the data shown that the sensitivity of the hydrophone with MOS2 is improved by the SU-8 layer. With an 11 mmthickSU-8 layer, the average sensitivity improvement is about 15 dB. In addition, it has also been observed that the noise level of the passivated device is lower than the unpassi- vated device. In the latter device, the MOSFET is in direct contact with the SU-8 layer, which is spin-coated from solution. Since it is very difficult to completely remove the solvent from the SU-8, the remaining solvent in the SU-8 may also contaminate the underneath MOSFET. Therefore, the unpassivated device generates more noise. This accounts for the improvement in sensitivity. 13.3 SAW ACCELEROMETER In this section, the fabrication of a surface acoustic wave (SAW)-based accelerometer is described [5]. Please recall that the design of this device has already been discussed in Chapter 5 (Section 5.3). PVDF p-Si SiO2 SiN SU-8 Drain Gate Source n + n + Figure 13.9 Cross-section of the hydrophone with passivation. 332 Smart Material Systems and MEMS This uses the Rayleigh wave, a type of surface wave in which the wave energy is almost completely con- fined within a distance of one wavelength above the substrate [6]. In this device, a conductive seismic mass is placed close to the substrate (at a distance of less than one acoustic wavelength). This serves to alter the electrical boundary conditions. The seismic mass con- sists of a micromachined polysilicon structure which incorporates reflectors and flexible beams. Details of the seismic mass and reflectors are shown in Figure 13.11. The device functions as follows. An incoming elec- tromagnetic wave at the IDT causes an RF electrical field between the transducer fingers. By the piezoelectric effect, mechanical deformations following the signal pro- pagate along the substrate. The array of reflectors reflect Oscillosco p e Hydrophone R D Rho-C rubber PSU CEEAM pluse tube 4.27 m Tonpiltz transducer DC power supply Amplifier Figure 13.10 Experimental set-up for characterizing the hydrophone. Table 13.1 Measured sensitivities of the hydrophones. Frequency Sensitivity (dB) (kHz) Hydrophone Hydrophone with MOSI with MOS2 Without With Without With SU-8 SU-8 SU-8 SU-8 4 À233.39 À233.81 À236.48 À223.25 5 À236.14 À234.067 À236.77 À224.6 6 À233.39 À233.073 À235.6 À222.7 7 À232.4 À232.84 À234.99 À219.54 8 À232.04 À230.31 À234.47 À220.33 9 À230.43 À229.07 À235.39 À216.83 10 À228.64 À228.71 À233.85 À217.23 Absorber Beam Polysilicon seismic mass IDT Al Plezoelectric film Silicon Figure 13.11 Schematic of an SAW-based accelerometer. Fabrication Examples of Smart Microsystems 333 this wave back to the IDT. The phase of the reflected wave is dependent on the position of the reflectors. If the position of the reflectors is altered, then the phase of the reflected wave is also changed. The reflectors are part of the seismic mass. In response to acceleration, the beam flexes, so causing the reflectors to move. This can be measured as a phase shift of the reflected wave. By calibrating the phase shift measured with respect to the acceleration, the device can be used as an acceleration sensor. Alternatively, the measurement can be done in the time domain, in which case the delay time of the reflection from the reflectors is used to sense the acceleration. The basic elements of this device are the piezoelectric film on which the IDTs are patterned and a set of reflectors and a seismic mass bonded onto this. Silicon with a ZnO film was chosen as the SAW substrate. Figure 13.12 shows the design dimensions (mm) of the device. The metal deposition and etching techniques used to pattern the IDTs are standard in IC processing. Reflector arrays were fabricated using silicon micromachining techniques. Flip-chip bonding was chosen in order to reduce the handling of the substrate and hence maintain the perfor- mance of the SAW substrate. These steps are detailed in the following paragraphs. Figure 13.13 shows the various steps in the fabrication of the seismic mass. This can be realized by the sacrifi- cial etching of silicon dioxide. The steps are outlined below: (1) A sacrificial oxide is thermally grown on the wafer. (2) Polysilicon (structural layer) is then deposited by LPCVD on the sacrificial layer. Polysilicon has good structural properties and is commonly used. This structural layer is thick enough (1 mm) to support itself. The polysilicon is patterned and etched with EDP. (3) The sacrificial layer is then etched with HF to finally release the seismic mass. (4) The seismic mass is now ready to be flip-chip bonded to the SAW substrate. Polysilicon seismic mass with reflectors Absorber ZnO film SAW Wave Silicon 1.5 µm 0.2 µm 1.5 µm E field Adhesive Silicon substrate RF in IDTs 1 µm Figure 13.12 Design and dimensions of an SAW-based accelerometer. Creation of air gap Structural material Sacrificial layer Seismic mass with reflectors Flip chip bonding Release of seismic mass from substrate Figure 13.13 Fabrication of the seismic mass for an SAW-based accelerometer. 334 Smart Material Systems and MEMS After the sacrificial oxide is removed in HF, the wafers are rinsed in deionized water and dried. The surface tension of the water under the structures pulls them down to the surface of the wafer, and in some cases causes them to stick (permanently). This problem can be avoided by using thick structural and sacrificial layers, and short structures. The SAW device consists of a piezoelectric substrate on which the IDTs are patterned. The IDTs can be etched by using the lift-off technique. The thickness of the metal for the IDTs should be at least 2000 A  in order to make an adequate contact. A very thick layer of metal causes ‘mass-loading’ effects and is detrimental to the device performance. The metallization ratio used for the IDTs is 0.5. The number of IDTs and their apertures is chosen such that the IDTs have an impedance of 50 . The choice of the lithography method depends on the minimum feature size and adhesion of the selected metal to the piezoelectric substrate. Fabrication of the IDTs is essentially a single-mask process. For the lift-off techni- que, fabrication begins with standard photolithography using standard equipment like a wet bench, resist spinner, hotplates and an evaporator. The lithium niobate wafers are cleaned using acetone, isopropanol and trichloroethy- lene at about 60  C for about 10 min. The wafers are then thoroughly rinsed in deionized (DI) water for about 5 min and subsequently heated at 125  C (on a hot plate) for about 10 min to remove surface moisture. Upon cooling the wafer on a heat-sinking plate, Shipley 1813 photo- resist is then spin coated (at 4000 rpm for 55 s) on the wafer after soaking the top face with an adhesion agent, hexamethyldisilazane (HMDS). The wafer is then heated at 125  C for 2 min (soft-bake). The wafer is then exposed to UV light (15 mW/cm 2 ) for 1.2 s, such that the regions of the resist that are exposed become soluble to the developer (DI water and MF312, in a 1:1 ratio). A negative mask (whereby the patterns on glass are set against a back- ground of chrome) is used for this purpose. The wafer is developed until the sections that have been exposed to UV light (and therefore soluble) are etched away. The wafer was then hard-baked at 125  C for 1.5 min. The patterned wafer was coated with 0.02 mm of chromium (to improve adhesion of gold to the substrate) using ‘e-gun evapora- tion’ and immediately coated with 0.12 mmofgoldusing thermal evaporation. The wafer was then submerged in acetone for lift-off. If two wafers with surface oxide layers are hydrated in sulfuric and/or nitric acid and then brought into contact they will stick immediately. If these wafers are subse- quently annealed at high temperatures, the resulting bond can be essentially perfect. The quality of the bond depends upon the type of oxides used, the temperature and the cleanliness of the process. If the wafers can be annealed at 1000  C, any common oxide (thermal, PSG, etc.) will do. This technique is often used in what is known as a ‘dissolved-wafer process’. Hence, this can be used for bonding the wafers with the IDTs and the seismic mass. The fabrication of the spacer is a two-mask process. Four different wafers were processed to make one device, as each spacer height requires a separate wafer. The first step in the process was the creation of a spacer of the desired height. The next step is the fabrication of the reflector arrays. These two stages are described with the help of Figures 13.14 and 13.15. The basic steps in the process involves growth and patterning of an oxide mask, followed by dry etching of silicon by a plasma: (1) Four p-type silicon (100) wafers of resistivity between 2–5  cm were used. (2) A 500 nm thick silicon dioxide is grown. This oxide layer is the mask for the dry etching. (3) The photoresist is spun onto the oxide layer. The resist is then baked to improve adhesion. The first mask is aligned with respect to the flat of the wafer and the photoresist is patterned. The oxide is then developed. (4) The silicon is dry-etched in a plasma. The four wafers are etched to different depths, namely 100 and 400 nm and 1 and 2 mm. This step results in the protected area being raised above the rest by the amounts indicated above. These raised regions are called spacers. (5) The wafers are cleaned and the oxide mask is then etched away. This completes fabrication of the spacers. A view of the device after the above steps is shown in Figure 13.14. The process steps in the fabrication of the reflector arrays are discussed next: (1) A thin layer (20 nm) of silicon dioxide is grown in preparation for ion implantation. This is done to make the reflectors more conductive with respect to the base of the wafer. Ion implantation uses acceler- ated ions to implant the surface with the desired dopant. This high-energy process causes damage to the surface. The implantation was done through a LPCVD oxide in order to reduce the surface damage as surface planarity of the reflector is desired. (2) Boron ions are implanted into the silicon wafer at 150 keV. The concentration of the dopant is 5 10 15 cm À2 . Both the front and back of the wafer Fabrication Examples of Smart Microsystems 335 are ion implanted. This dosage of ions will serve to make the doped region approximately ten times more conductive than the undoped region. (3) The wafers are then annealed to release any stress in the wafer. This is followed by plasma-enhanced chemical vapor deposition (PECVD) of a 1 mm thick oxide layer. This oxide layer will serve as the mask for the dry-etching step to follow. (4) The oxide is patterned and developed as described in the fabrication of the spacers. The second mask is aligned to alignment marks that were put down during the fabrication of the spacers. This will ensure that the spacers and the reflectors are properly aligned with respect to each other. (5) The silicon is dry-etched in plasma. The depth of the etch is 1 mm. This results in formation of reflectors 1 mm in thickness. (6) The back side of the wafer is sputtered with alumi- num (0.6 mm) to allow grounding of the wafer. (7) The oxide is finally stripped from the front. The completed set of reflectors is shown in Figure 13.15. An array consisting of 200 reflectors is placed between the two IDTs. These reflectors cover nearly the entire space between the IDTs. The spacer height was 100 nm. This allows the reflectors to be placed 100 nm above the substrate on which the Rayleigh wave propagates. Based on experimental studies it has been concluded that: (1) Purely electrical reflections due to the suspended array of reflectors can be detected. (2) The spacer is able to place the reflector array adequately close to the substrate, thus allowing the electric field to interact with the reflectors. This is achieved without perturbing the mechanical bound- ary conditions. (3) The reflection from the reflector array can be easily determined by using the reflection coefficient (S11) measurement. The device is characterized by using a network analyzer to measure the phase shift of the reflection coefficient at the IDT. A linear variation is obtained (see Figure 13.16) for the range of acceleration values studied here. 13.4 CHEMICAL AND BIOSENSORS As a branch of chemical sensors, which convert a chemical or physical property of a specific analyte into a measurable signal proportional to the concentration of the analyte, biosensors employ a biological sensing system connected to a transducer to recognize biological Oxide (500 nm) Photoresist (2) Spin on photoresist (4) Plasma etch Si to get required spacer height p -type silicon wafer p -type silicon wafer p -type silicon wafer (5) Strip oxide to complete spacer fabrication p -type silicon wafer Spacer 100 nm, 400 nm, 1 µm, 2 µm Silicon substrate Perspective view of device after first sta g e of fabrication p -type silicon wafer (3) Pattern and develop photoresist (1) Oxidation Figure 13.14 Fabrication of spacers for an SAW-based accelerometer. 336 Smart Material Systems and MEMS analytes and to produce the measurable signal. Chemical and biological sensors can use different kinds of trans- ducers based on the transduction principle. Hence, in this section a liquid sensor based on surface acoustic wave (SAW) principles and a glucose sensor that makes use of the special properties of carbon nanotubes are presented. 13.4.1 SAW-based smart tongue The basic design principle of a ‘smart tongue’ that uses surface acoustic wave (SAW) principles has been described in Chapter 5. Several requirements should be taken into consideration in the design and fabrication of this SH-SAW liquid sensor. First, the sizes of the devices has to be determined. In order to easily measure the delay time difference between the two delay lines of the SH- SAW device, long delay lines are desirable (large devices). However, the sensitivity and rise time due to thermal properties are improved with smaller devices. Secondly, the number of transducer fingers for the new devices had to be determined. The bandwidth of the sensor output is mainly dependent on this number [7]. Increasing the number of fingers decreases the band- width. On the other hand, in order to minimize the device capacitance, the number of fingers should be kept low. However, to minimize conversion losses, the number of 20 nm oxide p -type silicon wafer p -type silicon wafer p -type silicon wafer p -type silicon wafer p -type silicon wafer p -type silicon wafer 150 keV Oxide mask p -type silicon wafer (1) DIBAR oxidation PECVD oxide ~1 µm (3) PECVD oxidation (5) Plasma etch Si (6) Back side Al deposition (4) Pattern oxide mask (2) Ion implantation – Front and back (7) Oxide strip in front Perspective view of device after fabrication Spacer Reflectors reflector array 1 µm 1 µm Figure 13.15 Fabrication steps for the reflectors in an SAW-based accelerometer. Fabrication Examples of Smart Microsystems 337 fingers should be high and diffraction losses can be kept to a minimum when the aperture is large [8,9]. The maximum efficiency of coupling occurs when the width of the IDT fingers is equal to a quarter of the wavelength (W ¼ l=4) and for the waves to be generated over a distance L (between the centers of the IDTs), the require- ment for the acoustic aperture is W ¼ ffiffiffi L p l. Thus, by choosing the aperture and the number of finger pairs, the transducer can be matched to a given input line, thus giving a low insertion loss for the device. The maximum relative bandwidth over which the transducer can be matched is approximately proportional to jK 2 j, the elec- tromechanical coupling coefficient, and the optimum number of finger pairs N is proportional to jðK 2 Þ À1 j to allow the acoustic and electrical bandwidths to be made equal [7]. Any piezoelectric material, such as lithium niobate, lithium tantalite or quartz, could be used as a substrate. In order to integrate easily with solid-state electronics, silicon with a ZnO film or an Si/diamond/ZnO combina- tion could also be used. However, to prove the concept of the usefulness of SAW-based device for this applica- tion, a low-loss material with a high electromechanical coupling coefficient was chosen. For efficient generation and detection of SAWs through the IDTs, a 128  YX LiNbO 3 crystaline wafer was chosen as the substrate since it is known to excite fewer bulk waves and has a very high electromechanical coupling coefficient. These SAW-based sensors can be fabricated by the lift- off technique. This technique is adequate for a 60 MHz device that has a minimum feature size of about 7.5 mm. An initial layer of chromium is deposited as an adhesion promoter on the lithium niobate substrate prior to coating with an additional layer of gold. The processed wafers are subsequently diced and the individual devices were mounted on a custom-designed PCB and below a PTFE cell (110 ml volume) that carries the liquid under test. The liquid cell is positioned accurately over the sensing area between the IDTs with the aid of guiding pins that fit into holes in the PCB and rests on the device without any sealant (Figure 13.17). This enables easy removal of the cell to clean the device and yet hold the liquid samples without leaking. The experimental procedure for SH-SAW devices involves the measurement of both the phase velocity and attenuation of the SH-SAW signals propagating on the delay lines of the sensor. The set-up includes a signal generator, the SH-SAW sensor and a vector voltmeter (HP 8505A) (Figure 13.18). In this, an electrical signal is fed from the signal generator to the input IDTs; the amplitude ratio and phase difference between the input and output signals of each delay line were monitored by Figure 13.16 Measured results from the SAW-based accelero- meter. Figure 13.17 Assembled view of an SAW-based chemical liquid sensor. Signal generator SH-SAW sensor GPIB Vector voltmeter (8508A) Figure 13.18 Experimental set-up for the SH-SAW-based characterization of liquids. 338 Smart Material Systems and MEMS the vector voltmeter. The fractional velocity shift and attenuation change of the SH-SAW can be derived from the phase difference and the amplitude ratio, respectively [8]. All the experiments conducted in order to test and characterize the SAW devices were performed under controlled temperature conditions of 23 Æ0.1  C using a commercial ‘Dri-Bloc’ heater. Temperature character- ization of the liquid sensors was performed and a linear dependence observed with temperature. After the initial characterization of the devices, experiments were con- ducted in order to discriminate between very different liquid samples (i.e. water, orange juice and milk) and, hence, confirm a ‘generic fingerprinting’ approach in which the need for biochemical-selective layers, nor- mally used in liquid sensors, is eliminated. The experi- ments were performed by dispensing equal volumes (50 ml) of the different liquids into the micro cell using a clean, dry microliter syringe. The micro cell and devices were cleaned after each measurement using DI water and then dried. Results showing the discrimination of different liquid samples using the principal compo- nents analysis (PCA) technique, a linear, supervised, nonparametric pattern-recognition method used to dis- criminate between the different samples under test [10], are presented in Figure 13.19. The principal compo- nents in this case are derived by using four variables (sensor responses) measured using the vector voltmeter set-up, which are the attenuation and phase difference on the shorted and free delay lines. It has been shown in Chapter 5 that the measurements performed are directly related to the fractional change in phase velocity, Áv/v, and attenuation change, Áa. These parameters can be related to the measured parameters by Áv v ¼À 1 360 l l Áf Áa ¼ C b A l ð13:4Þ where l is the length of the delay line and C b is a constant. The fractional change in phase velocity and attenua- tion change are the result of perturbations of the SH- SAWs propagating on a substrate surface caused by mechanical and/or electrical properties of the liquid under test and, therefore, are functions of these proper- ties. Thus, the above measured parameters can, in turn, be related to the viscosity, density, permittivity and conductivity of the liquid under test, via conductivity– permittivity charts [11]. 13.4.2 CNT-based glucose sensor Enzyme-immobilized sensors have found various impor- tant applications in many fields, such as clinical labora- tories, fermentation processes and pollution monitoring. Within the area of biosensor research, immobilized enzyme-based electrode technology is stimulating active interest because of its ability to provide reliable, sensi- tive, accurate, easy-to-handle and low-cost probes. An enzyme electrode is a kind of electrochemical sensor obtained by immobilizing a thin layer of enzyme on the electrode. The concentration of the substrate (or the 1 0.5 –0.5 –1 0 PC2 Full milk Orange juice Water –3 –2 –1 0 1 2 3 4 PC1 Figure 13.19 Principal component analysis for various liquids with an SAW-based device. Fabrication Examples of Smart Microsystems 339 enzyme) can be associated to the electrochemical signal monitored potentiometrially or amperometrically. It is expected that by reducing the electrode sizes from the microscale to the nanoscale will increase the detec- tion sensitivity. Nanoscale materials, such as gold nanoe- lectrode ensembles, have proved to dramatically enhance electrochemical sensitivity over their larger-scale analo- gues [12,13]. As a new and interesting class of nanoma- terials, carbon nanotubes (CNTs) were found to promote an electron transfer reaction when used as electrode materials. It has been demonstrated that CNTs reveal strong electrocatalytic activity and minimization of surface fouling onto electrochemical devices. Charge- transfer reactions at carbon nanotubes were found to occur at a faster rate than those at traditional carbon electrodes [14]. For SWCNTs, the electrical properties are sensitive to surface charge transfer and changes in the surrounding electrostatic environment as since every atom of them is on the surface, even simple adsorptions of certain mole- cules or polymers will significantly change the properties [15,16]. In this section, a recent effort on the fabrication of different functional carbon nanotube-based enzyme bio- sensors for glucose testing is presented. Multi-walled carbon nanotubes were synthesized by catalytic micro- wave chemical vapor deposition [17]. Purification was performed by acid treatment. Oxidized multi-walled carbon nanotubes (oCNT) were synthesized as described in Xie et al. [18]. Carbon nanotube-based electrodes were fabricated by the thick-film technique. Figure 13.20 presents a sche- matic diagram of a nanotube-based electrode structure. The silver conductive layers were fabricated using silver- derived paste prepared by the following procedure. Silver powder (2 g) was mixed with 1 g of 1,6-hexanediol diacrylate and 0.1 g of ‘Darocur 1173’. The mixture was tape-cast on a PET transparency substrate under a mask. After removing the mask, the pattern on the substrate was UV-cured. The graphite electrodes were fabricated by using a graphite-derived paste prepared by the following proce- dure. Graphite powder (1.2 g) was mixed thoroughly with 10 ml of isophorone solution containing 2 % (w/v) PVC, 2 % (v/v) DBE-4 and 2 % (v/v) DBE-5 until a homo- geneous paste was achieved. A 10 mL aliquot of this solution was then cast on the silver electrode surface of the sensor circle. The graphite electrodes were subse- quently cured for 1 h (at 120  C) and allowed to cool to room temperature. An insulator layer was then printed to cover most of the printed carbon strip, leaving a circle working area with a diameter of 5.1 mm and a contact area (on the opposite side). Glucose oxidase was immo- bilized onto the circular electrode by casting a 10 ml solution (0.05 M phosphate buffer solution (PBS), pH 7.0) containing 2 mg ml À1 GOx and 0.5 % Nafion and allowing it to evaporate at room temperature for 1 h. Subsequently, the surface was rinsed with doubly distilled water and stored at 4  C. The pCNT paste was prepared in a similar fashion to the graphite paste. To provide physical bonding of GOx, 0.18 g of pCNT powder was mixed thoroughly with 1.5 ml of isophorone solution containing 2 % (w/v) PVC, 2 % (v/v) DBE-4 and 2 % (v/v) DBE-5 until a homogeneous paste was achieved. Then, 3 ml of DMF were added and the solution was ultrasonicated for 10 min. A 10 mL aliquot of this solution was cast on the silver electrode surface of the sensor circle. The pCNT electrodes were subsequently cured for 1 h (at 120  C) and allowed to cool to room temperature. An insulator layer was then printed to cover most of the printed carbon strip, leaving a circle working area with a dia- meter of 5.1 mm and a contact area (on the opposite side). Glucose oxidase was immobilized onto the circle electrode by casting 10 mL of solution (0.05 M phosphate buffer, pH 7.0) containing 2 mg ml À1 GOx and 0.5 % Nafion and allowing it to evaporate at room temperature for 1 h. Subsequently, the surface was rinsed with doubly distilled water and stored at 4  C. Furthermore, aniline was electrochemically polymer- ized on the surface of a pCNT electrode. GOx (2mg/ml) was immobilized by in situ polymerization of aniline (0.1 M aniline/0.2 M H 2 SO 4 /PBS) or by chemical immo- bilization. In the latter, 10 mL of a PBS solution of GOx, BSA and GA (2 mg/ml GOx, 4.2 mg/ml BSA and 0.2 % GA) were placed on the electrode and allowed to react 10 mm Conductive silver ink Dielectric ink Carbon paste PET substrate 21 mm 3 mm 40 mm 175 µm Figure 13.20 Configuration of a carbon nanotube-based elec- trode for glucose biosensing. 340 Smart Material Systems and MEMS [...]... J-S Kim, K.J Vinoy and V.K Varadan, ‘Wireless health monitoring of cracks in structures with MEMS IDT sensors’, Proceedings of SPIE, 4700, 342–353 (2002) 4 B Zhu and V.K Varadan, ‘Integrated MOSFET-based hydrophone device for underwater applications’, Proceedings of SPIE, 4700, 101–110 (2002) 5 V.K Varadan, V.V Varadan and H Subramanian, ‘Fabrication, characterization and testing of wireless MEMS- IDTbased... and MEMS: Design and Development Methodologies V K Varadan, K J Vinoy and S Gopalakrishnan # 2006 John Wiley & Sons, Ltd ISBN: 0-4 7 0-0 936 1-7 348 Smart Material Systems and MEMS Figure 14.1 Location of smart devices in an aircraft are also currently being used extensively in sensing and actuator systems In this chapter, a few examples of such wireless MEMS devices for structural health monitoring are... we see that fiber-optic sensors are used essentially as sensing devices while PZT or Terfenol-D actuators are normally used for performing actuations such as vibration isolation and control The sensors and actuators used in these structures could be in the bulk form or thin-film form With the availability of RF technology, wireless MEMS devices Smart Material Systems and MEMS: Design and Development... carbon nanotubes by microwave CVD’, Smart Materials and Structures, 11, 610–616 (2002) 18 J Xie, N Zhang, M Guers and V.K Varadan, ‘Ultravioletcurable polymers with chemically bonded carbon nanotubes for microelectromechanical system applications’, Smart Materials and Structures, 11, 575–580, (2002) 19 N Zhang, J Xie and V K Varadan, ‘Functional carbon nanotube material- based enzyme biosensors for glucose... used in fracture studies and is also used in this work Such 360 Smart Material Systems and MEMS z z r n dG x Crack G0 G1 S Sensor x Figure 14 .12 Schematic showing the J-integral contour an integral is evaluated over an area taken over a path taken far away from the crack tip, as shown in Figure 14 .12 In this figure, r is the radius of the contour path G1 from the crack tip and n and m are the two outward... L5 Sensor I L14 z x L11 L12 Figure 14.9 A delta-wing configuration showing locations of the sensors and actuators: L1, 1400 mm; L2, 800 mm; L3, 30 mm; L4, 20 mm; L5, 10 mm; L6, 0.3 mm; L7, 40 mm; L8, 20 mm; L9, 40 mm; L10, 300 mm; L11, 800 mm; L12, 1340 mm; L13, 0.3 mm; L14, 200 mm 358 Smart Material Systems and MEMS Figure 14.10 Peak DIV as a function of delamination location and current amplitude (sensor... locations and Sensor-I locations are fixed and the Sensor-II location is varied, as shown in Figure 14.11 This figure shows the DIVs predicted by both Sensor-I and Sensor-II When Sensor-II is very close to the actuator, it predicts a DIV as high as 45 mV Note that this location is also quite close to the delamination location Sensor-I predicts a lower DIV, as it is far away from both the delamination and actuator... actuation current and frequency The same procedure is followed for every test, and the experimental results are discussed in the next section Table 14.2 shows the parameters considered for the horseshoe coil configuration and the range of applied currents and frequencies used in the experimental work 352 Smart Material Systems and MEMS Figure 14.4 An overview of the experimental set-up for the laminate... contours G0 and G1 , respectively If sij is the 2-D stress field and U and T are the strain and kinetic energy, respectively, then the J-integral is given by: G¼J¼ ð sji @ui @Z @Z À ðU þ TÞ @x @x @xj fEz g ¼ À½KEE ŠÀ1 ½KuE Šfug X ð14:11Þ Ezi Ázi i ð14:9Þ In the above equation, ui , ui and €i are the displacement, _ u velocity and acceleration components in the two coordi- The charge stored and the equivalent... Microelectronic Engineering, vol 61–62, T Fujii, PDMS-based microfluidic devices for biomedical applications, pp 907–914, Copyright 2002, with permission from Elsevier 344 Smart Material Systems and MEMS (a) (b) Outlet Inlet Ultra-filtration membrane B A Photo diode (A) High-concentration liquid (B) Filtrated liquid Pin Figure 13.27 Polymer micro-concentrator chip: (a) schematic view; (b) photograph . bulk form or thin-film form. With the availability of RF technology, wireless MEMS devices Smart Material Systems and MEMS: Design and Development Methodologies V. K. Varadan, K. J. Vinoy and. Micro Electro Mechanical Systems MEMS 98, 25–29, ß 1998 IEEE 344 Smart Material Systems and MEMS 11. M.Cole, G. Sehra, J.W. Gardner, and V .K. Varadan, ‘Devel- opment of smart tongue devices for. the IEE, 129 , 298–307, (1982). 8. J.W. Gardner, V .K. Varadan and O.O. Awadelkarim, Micro- sensors MEMS and Smart Devices, John Wiley & Sons, Ltd, Chichester, UK (2001). 9. M. Thompson and D.C.

Ngày đăng: 10/08/2014, 02:21

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan