1. Trang chủ
  2. » Luận Văn - Báo Cáo

Luận văn thạc sĩ Kỹ thuật điện tử: Thiết kế thiết bị lưu trữ dữ liệu giao tiếp USB tích hợp chức năng bảo mật

93 0 0
Tài liệu đã được kiểm tra trùng lặp

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

Trang 1

NGUYӈN MINH PHÚ

THIӂT Kӂ THIӂT Bӎ /Ѭ875Ӳ DӲ LIӊU GIAO TIӂP USB TÍCH HӦP CHӬ&1Ă1*%ҦO MҰT

Chuyên ngành: Kӻ ThuұWĈLӋn Tӱ Mã sӕ: 8520203

LUҰ19Ă17+Ҥ&6Ƭ

TP HӖ &+Ë0,1+WKiQJQăP

Trang 2

&{QJWUuQKÿѭӧc hoàn thành tҥi: 7UѭӡQJĈҥi hӑc Bách Khoa ± Ĉ+4*-HCM

Xác nhұn cӫa Chӫ tӏch HӝLÿӗQJÿiQKJLi/9Yj7Uѭӣng Khoa quҧn lý chuyên ngành sau khi luұQYăQÿmÿѭӧc sӱa chӳa (nӃu có)

CHӪ TӎCH HӜ,ĈӖNG 75ѬӢ1*.+2$Ĉ,ӊN ± Ĉ,ӊN TӰ

PGS.TS Hà Hoàng Kha ««««««««««««««««««««

Trang 3

ĈҤI HӐC QUӔC GIA TP.HCM

: Kӻ ThuұWĈLӋn Tӱ

MSHV: 1970051 1ѫLVLQK4Xҧng Nam

Khҧo sát các công trình nghiên cӭu liên quan

Mөc tiêu: Thu thұp các thông tin vӅ thiӃt kӃ USB bҧo mұt dӳ liӋu bao

gӗm SKѭѫQJSKiS[iFWKӵFSKѭѫQJSKiSOѭXWUӳSKѭѫQJSKiSPmKyDSKѭѫQJSKiSJLDRWLӃp phҫn mӅm và phҫn cӭng, tӕFÿӝ xӱ lý, lõi ÿLӅu khiӇn, chi phí cho sҧn phҭPÿӝ phӭc tҥp cӫa thiӃt kӃ

KӃt quҧ dӵ kiӃn: Tұp hӧp các công trình nghiên cӭXÿmF{QJEӕ có

OLrQTXDQÿӃQÿӅ tài

Công viӋc thӵc hiӋn: Tìm các tài liӋXWK{QJTXDWKѭYLӋn, internet và

tiӃn hành phân tích ÿӇ lӑc ra các tài liӋu cҫn thiӃt

NhiӋm vө 2 :

Nghiên cӭu và so sánh các SKѭѫQJSKiSbҧo mұt và an toàn dӳ liӋu

Mөc tiêu: 6RViQKFiFSKѭѫQJSKiSEҧo mұt và an toàn dӳ liӋu dӵa

trên các tiêu chí cӫa tam giác CIA (CIA triad): tính bí mұt, tính toàn vҽn và tính sҹn sàng cӫa dӳ liӋu Yêu cҫu mӝt thiӃt kӃ hiӋQÿҥLÿѭӧc FiFFѫTXDQFKtQKSKӫ QKѭÿӅ xuҩWYjÿiQKJLiFDR

KӃt quҧ dӵ kiӃn: Tұp hӧp các công trình nghiên cӭXÿmF{QJEӕ có

OLrQTXDQÿӃQÿӅ tài, tìm hiӇu các tiêu chuҭn quӕc tӃ và các tә chӭc chính phӫ QKѭ1,677&91«

Công viӋc thӵc hiӋn: Tìm các tài liӋXWK{QJTXDWKѭYLӋn, internet và

tiӃn hành thҧo luұQQKyPÿӇ lӑc ra các tài liӋu cҫn thiӃt

NhiӋm vө 3 :

Nghiên cӭu chӍ ra các lә hәng bҧo mұt cӫa các công trình nghiên cӭu WUѭӟFÿk\

Mөc tiêu: Chӭng mình rҵng các nghiên cӭu tUѭӟFÿk\FKѭDWKӵc sӵ

bҧo mұt bҵng cách chӍ UDFiFSKѭѫQJSKiSNKDLWKiFGӳ liӋu trên nghiên cӭXÿy

KӃt quҧ dӵ kiӃn: Tұp hӧp các công trình nghiên cӭXÿmF{QJEӕ có

OLrQTXDQÿӃQÿӅ tài, thӵc hiӋn các thӫ thuұWÿӇ truy cұp dӳ liӋu vào hӋ thӕng nghiên cӭu WUѭӟFÿy

Công viӋc thӵc hiӋn: Tìm các nghiên cӭu vӅ thiӃt bӏ USB bҧo mұt,

thӵc hiӋQFiFSKѭѫQJSKiSÿӇ lҩy dӳ liӋu tӯ thiӃt bӏ ÿy

Trang 4

NhiӋm vө 4 :

Xây dӵng mô hình bӝ nhӟ

Mөc tiêu: Xây dӵng mô hình bӝ nhӟ Samsung Nand Flash

.)*;&FyGXQJOѭӧng 1 GB bҵng ngôn ngӳ Verilog HDL

KӃt quҧ dӵ kiӃn: Mô hình bӝ nhӟ Samsung Nand Flash

.)*;&GXQJOѭӧng 1GB, thӵc hiӋQÿҫ\ÿӫ các nhiӋm vөÿӑc, ghi, xóa thHRÿ~QJKjQKYLWUrQWjLOLӋu cӫa hãng Tên thiӃt kӃ: MNDF

Công viӋc thӵc hiӋn: Tìm tài liӋu mô tҧ hành vi cӫa bӝ nhӟ Samsung

Nand Flash K9F1G08X0C, dùng ngôn ngӳ 9HULORJÿӇ mô hình hóa 1DQG)ODVK6DXÿy[k\GӵQJP{LWUѭӡQJÿӇ kiӇPÿӏQKP{KuQKÿy

NhiӋm vө 5 :

ThiӃt kӃ mӝt lõi IP giao tiӃp vӟi bӝ nhӟ

Mөc tiêu: ThiӃt kӃ mӝt lõi IP giao tiӃp vӟi bӝ nhӟ Samsung Nand

Flash K9F1G08X0C bҵng ngôn ngӳ Verilog HDL

KӃt quҧ dӵ kiӃn: Lõi IP NFIF giao tiӃp vӟi bӝ nhӟ Samsung Nand

Flash K9F1G08X0C gӗm có các nhiӋm vөJKLÿӑc và xóa dӳ liӋu bӝ nhӟ

Công viӋc thӵc hiӋn: Phân tích kiӃn trúc bӝ nhӟ ӣ nӝi dung 4, tìm

hiӇu giao thӭc giao tiӃp, thiӃt kӃ lõi IP chuyӇn tӯ yêu cҫu giao tiӃp dҥng lӋnh sang yêu cҫu giao tiӃp dҥng vұt lý ± ÿLӅu mà bӝ nhӟ hiӇu ÿѭӧc

NhiӋm vө 6 :

ThiӃt kӃ lõi IP giao tiӃp USB vӟi máy tính

Mөc tiêu: ThiӃt kӃ mӝt lõi IP kӃt hӧp vӟLPRGXOH&3ÿӇ thӵc

hiӋn giao tiӃp vӟi máy tính qua giao thӭc USB

KӃt quҧ dӵ kiӃn: Lõi IP I2C giao tiӃp vӟi ngõ ra cӫa module

CP2112, module CP2112 chuyӇn tӯ USB sang I2C

Công viӋc thӵc hiӋn: Phân tích các gói tin tӯ máy tính gӱLÿLTXD

cәng USB, tӯ ÿyJLҧi mã nhӳQJJyLWLQÿyWuPÿѭӧc nӝi dung cӫa nhiӋm vө và dӳ liӋu cҫQÿӑc/ghi Tӯ ÿyWKLӃt kӃ mӝt phҫn cӭng có nhiӋm vө là mӝWVODYHGHYLFHÿӇ nhұn/truyӅn dӳ liӋu khi có tín hiӋu tӯ master/host

NhiӋm vө 7 :

ThiӃt kӃ IP mã hóa dӳ liӋu

Mөc tiêu: ThiӃt kӃ mӝt lõi IP thӵc hiӋn mã hóa AES 128-ELWÿӇ mã

hóa dӳ liӋXOѭXWUӳ Tên lõi IP: AES128

KӃt quҧ dӵ kiӃn: IP mã hóa dӳ liӋu AES-128 bit có kiӃQWU~Fÿѭӡng

ӕng (pipeline), mҩt 40 clock cho 1 mã hóa 128-bit, chuӛi dӳ liӋu thiӃt mã hóa theo dҥng CTR

Công viӋc thӵc hiӋn: Tìm hiӇu thuұt toán mã hóa AES, liӋt kê các

Eѭӟc cӫa thuұWWRiQGѭӟi dҥng công viӋc mà phҫn cӭng thӵc hiӋn ÿѭӧc Cuӕi cùng, chuyӇQFiFEѭӟFÿmOLӋt kê sang ngôn ngӳ mô tҧ phҫn cӭng

NhiӋm vө 8 :

ThiӃt kӃ khӕi ÿLӅu khiӇn các IP

Mөc tiêu: KӃt nӕLFiFO}L,3ÿmÿѭӧc thiӃt kӃ lҥi vӟi nhau thông qua

mӝt bus giao tiӃp Các luӗng dӳ liӋXÿѭӧFÿLӅu khiӇn giao tiӃp bҵng mӝt module có tên gӑi SYSCON

KӃt quҧ dӵ kiӃn: KhӕL6<6&21ÿLӅu khiӇn các luӗng dӳ liӋu chҥy

giӳa nhӳQJ,3ÿmÿѭӧc thiӃt kӃ

Công viӋc thӵc hiӋn: Phân chia trình tӵ thӵc hiӋn cho các lõi IP, sҳp

xӃp các IP theo thӭ tӵ thích hӧp cho luӗng chҥy dӳ liӋXÿLӅu khiӇn tín hiӋXÿҫu vào cho các IP, lái tín hiӋXÿҫu ra tӯ ,3Qj\ÿӃQ,3NKiFÿӇ ÿҥWÿѭӧc mөFÿtFKÿӅ tài

Trang 5

NhiӋm vө 9 :

KiӇPÿӏnh thiӃt kӃ

Mөc tiêu: KiӇPÿӏQKFiFEѭӟFÿmWKLӃt kӃ có hoҥWÿӝQJÿ~QJQKѭ

PRQJÿӧi

KӃt quҧ dӵ kiӃn: 0{LWUѭӡng kiӇPÿӏnh, các WHVWFDVHÿҧm bҧo yêu

cҫu mã hóa

Công viӋc thӵc hiӋn: Xây dӵQJP{LWUѭӡng kiӇPÿӏnh bҵng viӋFÿѭD

ngõ vào và giám sát ngõ ra, viӃWWHVWFDVHÿӇ kiӇm tra thiӃt kӃ

NhiӋm vө 10 :

ViӃt ӭng dөng QJѭӡi dùng trên máy tính

Mөc tiêu: ViӃt mӝt ӭng dөng trên máy ttQKÿӇ QJѭӡi dùng giao tiӃp

vӟi thiӃt bӏ

KӃt quҧ dӵ kiӃn: Ӭng dөng ANSOFT FKRSKpSQJѭӡi dùng thӵc

hiӋn các thao tác: xác thӵFQJѭӡi dùng, nhұp mұt khҭu, yêu cҫu ÿӑc/ghi/xóa dӳ liӋu

Công viӋc thӵc hiӋn: ViӃWFKѭѫQJWUuQKEҵQJ3(5/ÿӑc yêu cҫu

QJѭӡi dùng, tùy thuӝc vào yêu cҫu mà cҫQFyÿiSӭng phù hӧp: chuyӇn nӝi dung dҥng mã ASCII sang dҥng mã hex hoһFQJѭӧc lҥi, hiӇn thӏ nӝi dung dӳ liӋu, hiӇn thӏ nӝi dung cӫDPHWDGDWD«

II NGÀY GIAO NHIӊM VӨ: 21/09/2020

III NGÀY HOÀN THÀNH NHIӊM VӨ: 03/01/2021 IV CÁN BӜ +ѬӞNG DҮN: PGS.TS Hoàng Trang

Trang 6

LӠI CҦ0Ѫ1

Sau mӝt QăPhӑc tұp và nghiên cӭu tҥLWUѭӡng Ĉҥi hӑc Bách Khoa Thành Phӕ Hӗ

&Kt0LQKHPÿѭӧc giao ÿӅ WjLÿӅ FѭѫQJOXұQYăQWӕt nghiӋp: ThiӃt kӃ thiӃt bӏ OѭXtrӳ dӳ liӋu giao tiӃp USB tích hӧp chӭFQăQJ bҧo mұt

Trong thӡi gian thӵc hiӋQÿӅ FѭѫQJHPÿmQKұn ÿѭӧc sӵ hӛ trӧ, gi~Sÿӥ cӫa quý thҫy cô, anh chӏ khóa trên và bҥn bè xung quanh Dù cho sӵ gi~Sÿӥ ÿó là ít hay nhiӅu, trӵc tiӃp hay gián tiӃp, vӟi lòng biӃWѫQFKân thành nhҩt, cho phpSHPÿѭӧc gӱi lӡi cҧPѫQVâu sҳFÿӃn tҩt cҧ quý thҫy cô, các anh chӏ, bҥn EqÿmWҥRÿLӅu kiӋn gi~Sÿӥ em trong quá trình hӑc tұp vjKRjQWKjQKÿӅ FѭѫQJOXұQYăQQj\ 7Uѭӟc hӃt, em xin ÿѭӧc gӱi tӟi các thҫy c{NKRDĈLӋn - ĈLӋn Tӱ WUѭӡQJĈҥi hӑc Bách Khoa Thành Phӕ Hӗ Chí Minh lӡi chào trân trӑng, cùng lӡi chúc sӭc khӓe và cҧPѫQVâu sҳc Nhӡ sӵ quan tâm, dҥy dӛ và chӍ bҧo nhiӋt tuQKFKXÿiRFӫa quý thҫy c{HPÿmFyWKӇ hoàn WKjQKÿҫy ÿӫ các yêu cҫu ÿһt ra cӫa ÿӅ tài Ĉһc biӋt, em xin gӱi lӡi cҧPѫQFKân thành nhҩt ÿӃn PGS.TS +RjQJ7UDQJQJѭӡLÿmTXDQWkPJL~Sÿӥ, tҥo ÿLӅu kiӋn tӕt nhҩt ÿӇ em có thӇ hoàn thành luұQYăQ tӕt nghiӋp Con xin chân thành cҧPѫQ{QJEjFKDmҽ, anh chӏ em ÿmOX{Qÿӝng viên ӫng hӝ vұt chҩt lүn tinh thҫn trong suӕt thӡi gian qua Và cuӕi cùng, em xin ÿѭӧc bày tӓ lòng biӃWѫQVâu sҳFÿӃn EDQOmQKÿҥo nhà WUѭӡng, các khoa, các phòng, ban chӭFQăQJÿã tҥRÿLӅu kiӋn gi~Sÿӥ em trong suӕt quá trình hӑc tұp vjOjPÿӅ tài

VӟLÿLӅu kiӋn khҧ QăQJvà vӕn kiӃn thӭc còn hҥn chӃÿӅ tài này không thӇ tránh ÿѭӧc nhiӅu thiӃu sót Vì vұy em rҩt mong nhұQÿѭӧc sӵ chӍ bҧoÿyQJJyS cӫa quý thҫy c{ÿӇ kӏp thӡi nhұn ra khuyӃt ÿLӇm, rút kinh nghiӋm cho nhӳQJÿӅ tài vӅ sau và cҧ công viӋFWURQJWѭѫQJOҥi

Hӑc viên cao hӑc

Trang 7

TÓM TҲT LUҰ19Ă17+Ҥ&6Ƭ

(TiӃng ViӋt)

ĈӅ tài này trình bày vӅ viӋc nghiên cӭu và thiӃt kӃ mӝt thiӃt bӏ OѭXWUӳ dӳ liӋu giao tiӃp vӟi máy tính thông qua chuҭn giao tiӃp USB ThiӃt bӏ này có tích hӧp chӭFQăQJbҧo mұt dӳ liӋu NhiӅu công trình nghiên cӭXWUѭӟFÿk\YjFҧ nhӳng sҧn phҭm trên thӏ WUѭӡng hiӋn tҥLÿӅu tӗn tҥi mӝt sӕ lӛ hәng bҧo mұWĈLӅXÿyOjPmҩWÿLWtQKDQtoàn cho dӳ liӋu cӫa QJѭӡLGQJĈӅ tài này tұp trung phân tích và chӍ ra nhӳng kӁ hӥ, khuyӃWÿLӇm cӫDFiFF{QJWUuQKÿӅ WjLFyWUѭӟF6DXÿyWiFJLҧ ÿѭDUDPӝt thiӃt kӃ mӟLѭXYLӋWKѫQQKDQKKѫQJӑQKѫQYjÿһc biӋt là chi phí thҩp KѫQ OjÿLӅu kiӋn cӫa tính khҧ thi cho viӋFWKѭѫQJPҥLKyDĈyOjmӝt thiӃt bӏ ÿҧm bҧo ba yêu cҫu cӫa mӝt tam giác bҧo mұt CIA: tính bí mұt, tính toàn vҽn và tính sҹn sàng cӫa dӳ liӋu Bên cҥQKÿyFiFWKDRWiFEҧo mұt vӅ mã hóa/giҧi mã dӳ liӋu, xóa bҧo mұt, xác thӵc QJѭӡLGQJ«ÿӅXÿѭӧc thӵc hiӋn trên phҫn cӭng thay vì thӵc hiӋn trên phҫn mӅm QKѭWUѭӟFÿk\ VӅ phҫn mӅm, tác giҧ ÿm lұp trình mӝt phҫn mӅPJL~SQJѭӡi dùng thӵc hiӋQFiFWKDRWiFÿӑc, ghi, xóa trӵc tiӃp dӳ liӋu trên thiӃt bӏ thay vì dùng ӭng dөng có sҹn cӫa hӋ ÿLӅu hành Cuӕi cùng, qua các thӱ nghiӋmÿiQKJLiGӵa trên các tiêu chí vӅ bҧo mұt, so sánh vӟLFiFF{QJWUuQKFyWUѭӟFÿӅ WjLÿmÿѭӧc chӭng minh vӅ tính bҧo mұt tuyӋt mұt cho dӳ liӋu quan trӑQJĈӅ tài hӭa hҽn sӁ là mӝt sҧn phҭm JL~SFRQQJѭӡi giӳ ÿѭӧc sӵ ULrQJWѭFKRWK{QJWLQFiQKkQJL~SFiFWә chӭc giӳ ÿѭӧc bí mұt cho dӳ liӋu

Trang 8

ABSTRACT OF MASTER THESIS

(English)

This topic presents the research and design of a data storage device that communicates with a computer via a USB standard This device has integrated data security function Many previous studies and products on the market have some vulnerability Thieves can exploit data from these vulnerabilities This study focuses on analyzing and pointing out the gaps of previous research Then, the author propose a new method to design a storage device that faster, more compact and especially lower cost which is a condition of the feasibility of commercialization The device in this study met the three requirements of CIA triad: confidentiality, integrity and availability In addition, security operations on data encryption/decryption, security deletion, user authentication are done on hardware instead of on software as before Regrading software, the author has programmed a tool to help users can read, write, delete data directly on the device instead of using an available application of the operating system Finally, through verifications and evaluations based on security criteria, compared to previous works, the topic has been proven to be confidential to important data The topic promises to be a product that helps people keep personal information private, helping organizations keep data confidential

Trang 9

2 Nӝi dung báo cáo là sҧn phҭPPjHPÿmQӛ lӵc nghiên cӭu trong quá trình hӑc tұp tҥLWUѭӡQJĈҥi Hӑc Bách Khoa Thành Phӕ Hӗ Chí Minh Dӵa trên nguyên tҳc thành thұt tri thӭc, các sӕ liӋu, kӃt quҧ trình bày trong báo cáo là hoàn toàn trung thӵc, khách quan, Fyÿӝ tin cұyÿѭӧc kiӇm chӭQJU}UjQJYjFKѭDÿѭӧc công bӕ Gѭӟi bҩt kǤ hình thӭc nào

3 Các trích dүn, nӝi dung tham khҧRÿѭӧc khai báo rõ ràng trong phҫn tài liӋu tham khҧo

4 (P[LQFDPÿRDQ nӝi dung ÿӅ WjL³7KLӃt kӃ thiӃt bӏ OѭXWUӳ dӳ liӋu giao tiӃp USB tích hӧp chӭFQăQJEҧo mұW´OjEjLYLӃt cӫa cá nhân em Ngoài ra không có bҩt cӭ sӵ sao chép nào cӫa bên thӭ ba

5 Em xin chӏu hoàn toàn trách nhiӋm và kӹ luұt cӫa bӝ môn, QKjWUѭӡng nӃXQKѭcó vҩQÿӅ xҧy ra vӅ viӋc ÿӅ WjLQj\ÿѭӧc sao chép tӯ ÿӅ tài nghiên cӭu khác

Tp H͛ Chí Minh, ngày 03 WKiQJQăP

Tác giҧ ÿӅ tài

NguyӉn Minh Phú

Trang 10

1.4.Tәng quan tài liӋu 2

1.5.Mөc tiêu nghiên cӭu 5

1.6.ĈӕLWѭӧng và phҥm vi nghiên cӭu 5

2.&Ѫ6Ӣ LÝ THUYӂT 7

2.1.ThiӃt bӏ USB 7

2.2.Bҧo mұt dӳ liӋu cӫa thiӃt bӏ OѭXWUӳ USB 9

2.3.Giao tiӃp USB 10

2.4.Mã hóa AES 10

2.5.Bӝ nhӟ Nand Flash 11

2.6.Giao tiӃp I2C 12

2.7.Siêu dӳ liӋu (metdata) 12

2.8.Xóa bҧo mұt 12

2.9.Tam giác CIA 16

3.NGHIÊN CӬU THӴC NGHIӊM 19

3.1.Tәng quan thiӃt kӃ 19

3.1.1 6ѫÿӗ khӕi tәng quan thiӃt kӃ 19

3.1.2 Tә chӭc bӝ nhӟ 21

3.2.ThiӃt kӃ phҫn cӭng 22

3.2.1 ThiӃt kӃ IP giao tiӃp I2C Slave 22

3.2.2 ThiӃt kӃ module mã hóa AES128 Encryption 28

3.2.3 ThiӃt kӃ IP giao tiӃp bӝ nhӟ Memory Interface 38

3.2.4 ThiӃt kӃ khӕLÿLӅu khiӇn dӳ liӋu System controller 40

3.2.5 Xây dӵng mô hình bӝ nhӟ Nand Flash 46

Trang 11

3.4.2 KӃt quҧ mô phӓng trên phҫn mӅm Questasim 55

4.4.3KѭѫQJWKӭc tҩn công dӳ liӋu trong thiӃt bӏ 65

4.4.1 ChiӃm quyӅQÿăQJQKұp thiӃt bӏ 65

4.4.2 Mҩt dӳ liӋXWUrQÿѭӡng truyӅn USB 65

4.5.KӃt quҧ tәng hӧp (synthesis) 66

4.6.So sánh các kӃt quҧ 67

5.KӂT LUҰ19¬+ѬӞNG NGHIÊN CӬU 69

Trang 13

1.3 Mөc ÿtFKQJKLrQFӭu

ThiӃt bӏ USB bҧo mұWÿѭӧc phát triӇQÿӇ bҧo vӋ dӳ liӋu cӫa mӝt thiӃt bӏ USB WK{QJWKѭӡng bҵQJFiFKWKrPYjRÿyQKӳng chӭFQăQJEҧo mұWQKѭPmKyDGӳ liӋu, xác thӵFQJѭӡi dùng, xóa bҧo mұt Tuy nhiên, liên tөc có nhӳng báo cáo cho rҵng thiӃt bӏ USB bҧo mұWÿѭӧc áp dөng nhiӅu loҥi công nghӋ bҧo mұt theo nhiӅu cách khác nhau, không thӇ ÿҧm bҧo an toàn dӳ liӋu do nhiӅu nguyên nhân >@ĈyOjYLӋc khai thác lӛ hәng thiӃt kӃ, khôi phөFQJѭӧc dӳ liӋu, truy cұp trӵc tiӃp bӝ nhӟ bҵng giao thӭc vұWOê«'RÿyQJj\FjQJFyQKLӅu yêu cҫXFDRKѫQvӅ thiӃt bӏ USB bҧo mұWÿӇ ÿҧm bҧo mөFÿtFKGӳ liӋXÿѭӧc bҧo vӋ mҥnh mӁ KѫQ ĈӅ tài này nhҵm mөFÿtFKQJKLrQFӭu, tìm kiӃm và thӱ nghiӋm mӝWSKѭѫQJWKӭc bҧo mұt mӟi cho thiӃt bӏ USB giúp dӳ liӋu không thӇ bӏ khai thác bҵng các cách tҩQF{QJÿmÿѭӧc công bӕ WUѭӟFÿk\'ӵa trên thiӃt kӃ ÿyQKjVҧn xuҩt có thӇ sҧn xuҩt thiӃt bӏ 86%DQWRjQKѫQEҧo mұWKѫQEҵng thiӃt kӃ ÿѭӧFÿӅ xuҩWWURQJÿӅ tài này

1.4 Tәng quan tài liӋu

Bҧo mұt cho thiӃt bӏ USB là mӝWÿӅ tài luôn nhұn ÿѭӧc sӵ quan tâm trong các hӝi nghӏ vӅ bҧo mұWDQWRjQWK{QJWLQĈmFyQKLӅu nghiên cӭu vӅ vҩQÿӅ này, thұPFKtÿmFyQKLӅu sҧn phҭm vӅ thiӃt bӏ USB bҧo mұt trên thӏ WUѭӡng tӯ nhӳng QăP&NJQJWҥi thӡLÿLӇPÿyQKLӅu nghiên cӭu, thí nghiӋPÿmFKӭng minh rҵng luôn tӗn tҥi các lӛ hәng bҧo mұt trong các thiӃt bӏ USB bҧo mұt [5] Nhìn chung các nghiên cӭXÿmÿѭDUDÿѭӧc các giҧi pháp nhҵPWăQJFѭӡng bҧo mұt cho thiӃt bӏ 86%QKѭ[yDEҧo mұt [1][2][3][4], mã hóa dӳ liӋXWUѭӟFNKLOѭXWUӳ [1], xác thӵc truy cұS>@«7X\QKLrQQKӳng nghiên cӭXQj\ÿӅu gһp phҧi nhӳng vҩn ÿӅ tӗn tҥi QKѭVDX

VҩQÿӅ 1: Hҫu hӃt các nghiên cӭXWUѭӟFÿk\ÿӅu thӵc hiӋn các thuұt toán bҧo

mұt trên phҫn mӅPÿӅu này có thӇ tӗn tҥi lӛ hәng vӅ bҧo mұt cho các hoҥWÿӝng vӅ xác thӵc mã khóa [2] GiҧLSKiSÿѭDFiFWKXұt toán mã hóa, xác thӵc bҧo mұt lên phҫn cӭng, phҫn mӅm chӍ ÿyQJYDLWUzJLDRWLӃp vӟLQJѭӡi dùng

VҩQÿӅ 2: Các nghiên cӭXWUѭӟFÿk\>@>@ÿӅ cao vҩQÿӅ xóa bҧo mұt, QKѭQJ

cách xóa bҧo mұt mà nghiên cӭXQj\ÿѭDUDgây ҧQKKѭӣng trӵc tiӃp ÿӃn thӡi gian

Trang 14

cӫa tiӃn trình xóa Xóa bҧo mұt mà các nghiên cӭXWUѭӟFÿk\ là thӵc hiӋn nhiӅu lҫQFiFWKDRWiFJKLÿqPmKyD[yDĈLӅu này làm chұPÿLFKӭFQăQJWѭѫQJWiFhӋ thӕQJNK{QJÿҧm bҧo yêu cҫu vӅ ÿiSӭng thӡi gian thӵc GiҧLSKiSÿѭӧc thӵc hiӋn bҵng cách thӵc hiӋn mӝt phҫn mӅm có khҧ QăQJJKLOӋnh xóa trӵc tiӃp vào bӝ nhӟ Nand Flash không thông qua mӝt ӭng dөng cӫa hӋ thӕng, tuân thӫ theo tiêu chuҭn xóa bҧo mұWQKѭQJKLӋQÿҥLKѫQ

Bên cҥQKÿycác nghiên cӭX>@>@ÿѭDUDJLҧi pháp xóa an toàn: Hybrid method

6XQHWDO¶VPHWKRG KRһF(QFU\SWLRQPHWKRG /HHHWDO¶VPHWKRG +DLSKѭѫQJSKiSQj\FyÿһFÿLӇm chung mà thӵc hiӋn viӋFJKLÿqYj[yDÿLӅu này làm cho khҧ QăQJJKL[yDFӫa bӝ nhӟ bӏ giҧPQKDQKKѫQVRYӟi viӋF[yDEuQKWKѭӡng Bӝ nhӟ Nand Flash có giӟi hҥn vӅ sӕ lҫn ghi/xóa tùy thuӝc vào nhà sҧn xuҩWTX\ÿӏnh ÿӇ ÿҧm bҧo tính tin cұy cӫa bӝ nhӟ 3KѭѫQJSKiSQj\Y{KuQKWUXQJOjPJLҧm YzQJÿӡi cӫa thiӃt bӏ ÿLQKLӅu lҫn ĈӇ WUiQKÿLӇm hҥn chӃ này, cҫn mӝt giҧi pháp xóa mӝt lҫn hoһc giҧm sӕ lҫQJKLÿq QKѭQJYүQÿҧm bҧo không còn dӳ liӋu trên bӝ nhӟ nӳa

VҩQÿӅ 3: Mӝt sӕ nghiên cӭu (ví dө nghiên cӭu [1]) ÿmÿѭDFKӭFQăQJPmKyD

xác thӵc lên phҫn cӭQJWX\QKLrQÿӅ tài lҥi thӵc hiӋn trên mӝWFKLSQK~QJĈLӅu này làm ҧQK KѭӣQJ ÿӃn tӕF ÿӝ xӱ lý khi truyӅn-nhұQ ÿӑc-ghi dӳ liӋu do mӝt MCU/SoC cҫn nhiӅu chu kǤ ÿӇ xӱ lý nhӳQJWKDRWiFOLrQTXDQÿӃn tұp lӋnh và truy cұp các bus ngoҥi vi (ӣ ÿk\OjQJRҥi vi mã hóa AES và giao tiӃp bӝ nhӟ) Cҫn nghiên cӭu thiӃt kӃ mӝt hӋ thӕQJ ÿLӅu khiӇQ OѭX WUӳ trên nӅn FPGA hoһc chip ASIC chuyên dөng

VҩQÿӅ 4: Nghiên cӭu [2][5] ÿmÿѭDUDJLҧi pháp cho vҩQÿӅ vӅ ÿyQJJyLSKҫn

cӭQJ QKѭQJ FKѭD ÿӅ xuҩW ÿѭӧc giҧL SKiS ÿӇ thӵc hiӋn VӅ phҫn cӭQJ ÿӇ QJăQhacker không xâm phân tách sҧn phҭm ra thành tӯng bӝ phұQOjÿLӅu mà khó có nhà sҧn xuҩWQjRÿiSӭQJÿѭӧc Giҧi pháp cho vҩQÿӅ này là cҫn phҧi bҧo vӋ dӳ liӋu ngay cҧ khi bӝ nhӟ có thӇ tách rӡi và QJăQFKһn hacker truy cұp dӳ liӋu bҵng giao thӭc vұt lý

VҩQÿӅ 5: VӅ vҩQÿӅ OѭXWUӳ mã khóa, nghiên cӭX>@ÿmÿѭDUDPӝt giҧi pháp

mã hóa tұp tin (file) dӵDWUrQPmNKyDQJѭӡi dùng và mã khóa ngүXQKLrQVDXÿy

Trang 15

OѭXWUӳ mã khóa ngүu nhiên vào bӝ nhӟ Cách làm này gây ra tiêu tӕn nhiӅu tài nguyên vì mӛLÿҫu vào dӳ liӋu và mӛi khóa ngүXQKLrQÿӅu 128-ELW ÿӅ tài này dùng thuұWWRiQ$(6ÿӇ mã hóa) Tӭc là cӭ mӛi 128-bit dӳ liӋu thì cҫQOѭXWUӳ ít nhҩt 256-bit bao gӗm dӳ liӋu sau mã hóa và khóa sinh ngүu nhiên vào bӝ nhӟ Tәn hao gҩSÿ{LWjLQJX\rQOjÿLӅu tӕi kӷ trong thiӃt kӃ ÿLӋn tӱÿӇ tránh vҩQÿӅ này cҫn phҧi có mӝt thiӃt kӃ mӟLOjPVDRÿӇ WăQJWӹ lӋ GXQJOѭӧQJOѭXWUӳ dӳ liӋu QJѭӡi dùng so vӟi tәQJGXQJOѭӧng bӝ nhӟ

VҩQÿӅ 6: Các nghiên cӭXFKѭDÿӅ cұSÿӃn vҩQÿӅ OjPVDRÿӇ QJăQFKһQÿѭӧc

QJѭӡi thiӃt kӃ truy cұp bҩt hӧp pháp vào thiӃt bӏ GRFKtQKQJѭӡLÿyWKLӃt kӃĈk\là vҩQÿӅ cҫn phҧi có biӋQSKiSQJăQFKһQÿӇ WUiQKQJX\FѫPҩt bҧo mұt trong mӑLWUѭӡng hӧp

VҩQÿӅ 7: Các nghiên cӭXFKѭDÿѭDUDÿѭӧc giҧi pháp bҧo mұWWURQJWUѭӡng

hӧp nhiӅXQJѭӡi dùng (multi-user) Vì các bӝ nhӟ OѭXWUӳ GXQJOѭӧng càng ngày càng lӟQ OrQÿӃQYjL7% JLiWKjQKÿҳt nên nhiӅXQJѭӡi có thӇ dùng chung mӝt thiӃt bӏ USB Cҫn mӝt thiӃt kӃ ÿҧm bҧo bҧo mұt dӳ liӋu giӳDFiFQJѭӡi dùng vӟi nhau trên cùng mӝt thiӃt bӏ

VҩQÿӅ 8: Nhìn chung các nghiên cӭXÿѭDUDÿѭӧc các giҧLSKiSÿӇ cҧi thiӋn

ÿӝ bҧo mұt cho thiӃt bӏ 86%QKѭQJYӅ vҩQÿӅ ÿiQKJLiYүQFKѭDWKӇ hiӋQÿѭӧc tiêu chuҭn, SKѭѫQJSKiSOXұn ÿӇ ÿiQKJLiÿӝ bҧo mұt cӫa thiӃt bӏ Cҫn phҧi áp dөng các tiêu chuҭn quӕc tӃ vӅ bҧo mұt cho viӋFÿiQKJLiÿӅ tài

Trang 16

1.5 Mөc tiêu nghiên cӭu

ĈӅ tài này trình bày mӝt thiӃt kӃ USB bҧo mұt có tên gӑi: ANDAT ANDAT ÿѭӧc thiӃt kӃ nhҵPQJăQFKһn rò rӍ dӳ liӋXWUѭӟFYjVDXFiFWKDRWiFÿӑc, ghi và xóa dӳ liӋu vào bӝ nhӟ Nand Flash Bên cҥQKÿyWKDRWiF[iFWKӵc mұt khҭXQJѭӡi dùng sӁ NK{QJÿѭӧc thӵc hiên bҵng phҫn mӅPÿLӅu vӕn là vҩQÿӅ FѫEҧn cӫa các thiӃt bӏ USB bҧo mұt hiӋn tҥi ĈӇ OjPÿѭӧFÿLӅXÿyPӑLWKDRWiFOLrQTXDQÿӃn bҧo mұWQKѭ[iFWKӵFQJѭӡi dùng, mã hóa/giҧi mã dӳ liӋXÿLӅu khiӇn tín hiӋu giao tiӃp bӝ nhӟ 1DQG)ODVK«ÿӅXÿѭӧc thӵc hiӋn trên mӝt chip chuyên dөng hoһc trên nӅn FPGA &ѫFKӃ bҧo mұt hai lӟSFNJQJÿѭӧc ÿѭӧFÿӅ xuҩt nhҵm cung cҩp khҧ QăQJEҧo mұt tӕWKѫQVRYӟi thiӃt bӏ USB bҧo mұt hiӋn cóĈӇ chӭng minh khҧ QăQJEҧo mұt tӕWKѫQÿӅ WjLÿѭDUDQKӳng luұQÿLӇPÿӇ chӭng minh rҵng thiӃt kӃ cӫDÿӅ WjLÿiSӭng các yêu cҫu cӫa mӝt tam giác CIA (CIA triad) gӗm có yêu cҫu vӅ tính bҧo mұt, tính toàn vҽn và tính sҹn sàng cӫa dӳ liӋu Bên cҥQKÿyWKDRtác xóa bҧo mұWÿѭӧc chӭQJPLQKOjÿiSӭQJWKHRÿӅ xuҩt cӫa tiêu chuҭn xóa hiӋn ÿҥi NIST 800-88 Thông qua viӋFSKkQWtFKYjÿiQKJLiFiFSKѭѫQJSKiSOѭXWUӳ bҧo mұt khác nhau, tác giҧ thӵc hiӋn thӱ nghiӋm thiӃt kӃ ÿѭӧFÿӅ xuҩWYjÿiQKgiá vӟi các nghiên cӭXWUѭӟFÿy

1.6 ĈӕLWѭӧng và phҥm vi nghiên cӭu

ĈӅ tài tұSWUXQJSKkQWtFKFiFSKѭѫQJSKiSEҧo mұt dӳ liӋXÿmÿѭӧc công bӕ, chӍ UDÿLӇm còn thiӃu sót và cuӕLFQJÿѭDUDSKѭѫQJSKiSthiӃt kӃ mӝt thiӃt bӏ OѭXWUӳ USB bҧo mұt dӳ liӋu hoàn chӍQK&iFSKѭѫQJSKiSEҧo mұt dӳ liӋXÿmÿѭӧc công bӕ OjFiFÿӅ tàLEjLEiRÿmÿѭӧc các nhà xuҩt bҧn lӟQÿăQJWҧi: IEEE, 6SULQJHU«Bên cҥQKÿyÿӅ tài còn dӵa vào nhӳng tiêu chuҭn mã hóa, bҧo mұt cӫDFiFFѫTXDQFKtQKSKӫ ÿӅ xuҩWÿӇ áp dөng vào thiӃt kӃ: ViӋn Tiêu chuҭn và ƭ WKXұt Quӕc gia Hoa KǤ NIST (National Institute of Standards and Technology), TCVN (Tiêu chuҭn ViӋt Nam), Tә chӭc tiêu chuҭn hoá quӕc tӃ ISO (International Organization for Standardization)«Sau khi phân tích, thiӃt kӃ và mô phӓng tác giҧ tiӃQKjQKÿiQKJLiYӟLFiFÿӅ tài ÿmÿѭӧc công bӕ WUѭӟc ÿk\

Trang 17

VӅ phҥm vi nghiên cӭXÿӅ tài thӵc hiӋn trong thӡi gian mӝWQăPtҥi phòng thí nghiӋPĈҥi hӑc Bách Khoa Thành Phӕ Hӗ Chí Minh Nhӳng yêu cҫu vӅ ÿҫu ra cӫDÿӅ tài:

o Sӱ dөng tiӃt kiӋm tài nguyên bӝ nhӟ Nand Flash

o ThiӃt kӃ phҫn cӭng trên nӅQ)3*$ÿҧm bҧo: ít tӕn tài nguyên, tiêu tӕn ít QăQJOѭӧng

o ĈiSӭQJWLrXFKtÿiQKJLiDQWRjQFӫa tam giác CIA (CIA trial): tính bҧo mұt, tính toàn vҽn, tính sҹn sàng

o Phҫn mӅm giao tiӃp vӟLQJѭӡi dùng theo hình thӭc dòng lӋnh

o Toàn bӝ thao tác xӱ lý mұt khҭXQJѭӡi dùng, mã hóa/giҧLPmOѭXWUӳ dӳ liӋu phҧLÿѭӧc thӵc hiӋn trên phҫn cӭng

o Ĉҧm bҧo dӳ liӋu sau khi xóa bҧo mұt không thӇ khôi phөc

o Yêu cҫXEăQJWK{QJGӳ liӋu có thӇ mӣ rӝng cho nhӳng bҧn nâng cҩp vӅ sau

o ĈӅ WjL[iFÿӏnh rõ chӭFQăQJ kiӇm tra và sӱa lӛi bӝ nhӟ là phҫn phát triӇn vӅ sau

o &iFWKDRWiFÿӑc/ghi/xóa phҧLÿѭӧc thӵc hiӋn bҵng cách nhanh nhҩWQKѭQJÿҧm bҧo dӳ liӋXÿѭӧc an toàn

Trang 18

2 &Ѫ6Ӣ LÝ THUYӂT 2.1 ThiӃt bӏ USB

ThiӃt bӏ USB (tên gӑi khác: ә USB flash, ә cӭQJ GL ÿӝng USB, ә cӭng gҳn nhanh cәQJ86% WKѭӡQJÿѭӧc gӑi là USB, là thiӃt bӏ OѭXWUӳ dӳ liӋu sӱ dөng bӝ nhӟ flash (mӝt dҥng IC nhӟ hӛ trӧ cҳm nóng, tháo lҳp nhanh) tích hӧp vӟi giao tiӃp USB (Universal SerLDO%XV &K~QJFyNtFKWKѭӟc nhӓ, nhҽ, có thӇ tháo lҳp và ghi lҥLÿѭӧF'XQJOѭӧng cӫa các ә USB flash trên thӏ WUѭӡng hiӋn nay có thӇ OrQÿӃn 2 TB và còn có thӇ lên nӳDWURQJWѭѫQJODL86%FyQKLӅXѭXÿLӇPKѫQhҷn các thiӃt bӏ OѭXWUӳ tháo lҳSNKiFÿһc biӋWOjÿƭDPӅm Chúng nhӓ KѫQQKDQKKѫQFyGXQJOѭӧng lӟQKѫQYjWLQFұ\KѫQÿƭDPӅPGRÿyQJj\QD\WKLӃt bӏ OѭXtrӳ 86% ÿmKRjQWRjQ WKD\WKӃ cho các ә ÿƭD PӅm trong các máy tính cá nhân ÿѭӧc sҧn xuҩt trong mӝWYjLQăPJҫQÿk\

Mӝt ә USB flash loҥLWK{QJWKѭӡng có các thành phҫn sau:

o Bҧn mҥch in nhӓ chӭa các linh kiӋQÿLӋn tӱ cùng mӝt (hoһc nhiӅu) chip nhӟ flash hàn trӵc tiӃp trên mҥch in

o Ĉҫu cҳm kӃt nӕi vӟi các cәng USB; các kӃt nӕLWKѭӡng sӱ dөng chuҭn A cho phép chúng kӃt nӕi trӵc tiӃp vӟi các khe cҳm USB trên máy tính

o Vӓ bҧo vӋ: Toàn bӝ bҧn mҥch in, chip nhӟ flash nҵm trong mӝt vӓ bҧo vӋ kim loҥi hoһc nhӵDJL~SQyÿӫ chҳc chҳQ ÿӇ có thӇ cho vào túi, làm móc chìa khóa v.v ) ChӍ Fyÿҫu kӃt nӕi USB nҵm ngoài vӓ bҧo vӋ Qj\YjWKѭӡng có mӝt nҳp ÿұy cho nó Vӓ bҧo vӋ WKѭӡQJÿѭӧc thiӃt kӃ ÿDGҥng nhҵm hҩp dүQQJѭӡi sӱ dөng, có nhӳng loҥi USB có khҧ QăQJFKӕng thҩPѭӟt, chӕng sӕc

o Lүy gҥt chӕng ghi: Mӝt sӕ ә USB flash có thiӃt kӃ lүy gҥWÿӇ chӕng ghi, tuy nhiên chúng chӍ FyêQJKƭDNK{QJFKRSKpSKӋ ÿLӅu hành ghi hoһc sӱDÿәi dӳ liӋu vào ә

o ĈqQEiRKRҥWÿӝQJĈDSKҫn các ә USB flash có mӝWÿqQEiRQKӓ ÿӇ hiӇn thӏ chӃ ÿӝ làm viӋc cӫDQy ÿqQQj\OjPӝWÿLӕt LED nhӓ gҳn trên bo mҥch cӫa ә, có màu khác nhau tuǤ hãng) Cách báo hiӋu sӵ hoҥW ÿӝQJ Qj\ FNJQg không ÿѭӧc thӕng nhҩt giӳa các hãng sҧn xuҩt: có loҥi ә 86%ViQJÿqQOjWUҥng thái

Trang 19

ÿDQJÿӑc hoһFJKLYjQJѭӧc lҥi tҳWÿqQOjQJKӍ, có loҥLViQJÿqQOjQJKӍ và tҳt ÿqQOjÿӑFJKL WURQJTXiWUuQKÿӑc/ghi chúng sáng tҳt liên tөc nên tҥo sӵ nhҩp nháy) hoһc các hình thӭc báo hiӋXNKiF«QJѭӡi sӱ dөng nên quan sát sau mӝt vài lҫQÿӇ biӃt chính xác trҥQJWKiLÿӇ tránh tháo thiӃt bӏ NKLFK~QJÿDQJlàm viӋc

o 'k\ÿHRPyFNKRi«OjFiFSKҫn phө có thӇ ÿѭӧc bán kèm theo ә USB flash, chúng là thiӃt bӏ phө, có thӇ không cҫn thiӃWÿӕi vӟi mӝt sӕ QJѭӡi sӱ dөng ĈӇ truy cұp dӳ liӋu trong ә flash, ta cҫn kӃt nӕi ә vӟLPi\ÿLӋn toán hoһc cҳm vào mӝt USB host controller hoһc mӝt USB hub Các ә USB flash chӍ hoҥWÿӝng NKLÿѭӧc cҳm vào mӝWÿҫu nӕL86%Yjÿѭӧc cҩSÿLӋn bӣLÿҫu nӕi này (chúng sӱ dөng nguӗQÿLӋn 5V tӯ máy tính)

&NJQJQKѭFiFWKLӃt bӏ khác, ә USB flash hoҥWÿӝng trong hӋ ÿLӅXKjQKFNJQJFҫn FiFWUuQKÿLӅu khiӇQ GULYHU ULrQJWX\QKLrQQJѭӡi sӱ dөng lҥi ít nhұn thҩ\ÿLӅu này bӣLFK~QJÿmÿѭӧc tích hӧp sҹn vӟi hӋ ÿLӅu hành, khi mӝt ә 86%IODVKÿѭӧc cҳm lҫQÿҫu tiên vào mӝt máy tính, hӋ ÿLӅu hành sӁ nhұn dҥng thiӃt bӏ phҫn cӭng mӟLYjWuPWUuQKÿLӅu khiӇn cho chúng, nӃu hӋ thӕng không có sҹQFiFWUuQKÿLӅu khiӇn sӁ ÿѭDUDFiF\rXFҫXQKѭÿѭDә ÿƭDPӅPÿƭD&'ÿӇ bҳWÿҫu quá trình cài ÿһt cho thiӃt bӏ phҫn cӭng mӟi này

ĈDVӕ hӋ nhӳng hӋ ÿLӅu hành gҫQÿk\ FKӍ QyLÿӃn hӑ :LQGRZV 1Kѭ:LQGRZV;3:LQGRZV9LVWD:LQGRZVÿѭӧc tích hӧSFiFWUuQKÿLӅu khiӇn cӫa ә USB flash thông dөQJWX\QKLrQÿӕi vӟi các hӋ ÿLӅXKjQKFNJKѫQQKѭ:LQGRZVWindows Me, Windows 98 (phiên bҧQÿҫu và phiên bҧn SE) có thӇ NK{QJÿѭӧc tích hӧp sҹQFiFWUuQKÿLӅu khiӇn trong hӋ ÿLӅu hành, khi này hӋ thӕng sӁ ÿzLKӓi WUuQKÿLӅu khiӇn (driver) cӫa thiӃt bӏ

Ĉӕi vӟi các ә USB flash tích hӧS WKrP FiF WtQK QăQJ NKiF Fy WKӇ QJѭӡi sӱ dөng không cҫQFjLÿһWWUuQKÿLӅu khiӇQQKѭQJFҫQFjLÿһt các phҫn mӅm chuyӇn ÿәLÿӏnh dҥng âm thanh chӭDWUrQÿƭD KRһc trong chính USB flash) kèm theo

Trang 20

2.2 Bҧo mұt dӳ liӋu cӫa thiӃt bӏ OѭXWUӳ USB

Mӝt cách tәng quát, bҧo mұt thông tin là hoҥWÿӝng bҧo vӋ thông tin bҵng cách giҧm thiӇu rӫi ro cӫa thông tin Nó là mӝt phҫn cӫa quҧn lý rӫi ro thông tin Bҧo mұt thông tin WKѭӡQJOLrQTXDQÿӃn viӋFQJăQFKһn hoһc ít nhҩt là giҧm xác suҩt truy cұp trái phép/không phù hӧp vào dӳ liӋu, sӱ dөng bҩt hӧp pháp, tiӃt lӝ, gián ÿRҥn, xóa, sӱDÿәi, kiӇm tra, ghi lҥi hoһFÿiQKJLiWK{QJWLQ%ҧo mұt thông tin bao gӗP FiF KjQK ÿӝng nhҵm giҧP WiF ÿӝng tiêu cӵc cӫa các sӵ cӕ QKѭ Yұy 7K{QJWLQÿѭӧc bҧo vӋ có thӇ ӣ bҩt kǤ hình thӭc nào, ví dөÿLӋn tӱ hoһc vұt lý, hӳu hình (ví dө: thӫ tөc giҩy tӡ) hoһc vô hình (ví dө: kiӃn thӭc) Trӑng tâm chính cӫa bҧo mұt thông tin là bҧo vӋ cân bҵng tính bí mұt, tính toàn vҽn và tính sҹn có cӫa dӳ liӋX FzQÿѭӧc gӑi là bӝ ba CIA) trong khi vүn tұp trung vào viӋc thӵc thi hiӋu quҧ, tҩt cҧ ÿӅu không cҧn trӣ hiӋu suҩt cӫa thiӃt bӏ

1KѭYұy, kӃt hӧp vӟi ký thuyӃt cӫa USB flash ӣ mөc 2.1, USB bҧo mұt là mӝt thiӃt bӏ OѭXWUӳ dӳ liӋXOѭXYjREӝ nhӟ flash, thiӃt bӏ này có khҧ QăQJEҧo vӋ thông WLQQJѭӡi dùng bҵng cách hҥn chӃ quyӅn truy cұp vào nӝi dung ThiӃt bӏ chӍ ÿiSӭng khҧ QăQJWUX\Fұp cho nhӳQJQJѭӡLGQJÿѭӧc phép truy cұp

Trên thӵc tӃ, vӟi thiӃt bӏ USB EuQKWKѭӡng mà phҫn lӟn QJѭӡi sӱ dөQJÿDQJVӣ hӳu có tính bҧo mұt rҩt kém vӟi các tӋp dӳ liӋXFKѭDÿѭӧc mã hoá, hҫXQKѭQJѭӡi nào thao tác vӟLQyFNJQJFyWKӇ ÿӑc và sӱDÿәi dӳ liӋu chӭa trên nó NhҵPÿiSӭng nhu cҫu bҧo mұt cӫDQJѭӡi sӱ dөQJÿDVӕ các nhà sҧn xuҩt lӵa chӑQSKѭѫQg thӭc sӱ dөng kӃt hӧp mӝt phҫn mӅm mã hoá dӳ liӋu VӟLSKѭѫQJWKӭc này khi sӱ dөQJQJѭӡi dùng cҫQFjLÿһt phҫn mӅm bán kèm vào máy tính cҫQWUDRÿәi dӳ liӋu và nhұp mӝt mұt khҭu riêng mà tӯ ÿyPӛi khi truy cұp vào ә cҫn phҧi có mұt khҭu cӫDFKtQKQJѭӡi tҥo ra nó

Mӝt sӕ ә USB flash còn có trang bӏ các hình thӭc nhұn dҥQJQJѭӡi sӱ dөng thông qua vân tay (sinh trҳc hӑc), loҥi này có sӵ bҧo mұt tӕWKѫQ- chӍ FyQJѭӡi sӱ dөng FjLÿһt mұt khҭu mӟi có thӇ truy cұSÿѭӧc vào dӳ liӋu chӭa trên ә

Trang 21

2.3 Giao tiӃp USB

USB (Universal Serial Bus) là mӝt chuҭn kӃt nӕi tuҫn tӵ ÿDGөng trong máy tính USB sӱ dөQJÿӇ kӃt nӕi các thiӃt bӏ ngoҥi vi vӟL Pi\WtQKFK~QJWKѭӡng ÿѭӧc thiӃt kӃ Gѭӟi dҥQJFiFÿҫu cҳm cho các thiӃt bӏ tuân theo chuҭn cҳm-và-chҥy mà vӟLWtQKQăQJFҳm nóng thiӃt bӏ (nӕi và ngҳt các thiӃt bӏ không cҫn phҧi khӣL ÿӝng lҥi hӋ thӕQJ  ĈѭӧF SKiW KjQK YjR QăP  WLrX FKXҭn USB hiӋn ÿѭӧc duy trì bӣi tә chӭc USB-,)ĈmFyEӕn thӃ hӋ USB, gӗm: USB 1.x, USB 2.0, USB 3.x và USB486%ÿѭӧc thiӃt kӃ ÿӇ chuҭn hóa kӃt nӕi cӫa thiӃt bӏ ngoҥi vi vӟLPi\WtQKFiQKkQÿӇ giao tiӃp và cung cҩSQăQJOѭӧQJÿLӋQ1yÿmWKD\WKӃ phҫn lӟn các giao diӋQQKѭFәng nӕi tiӃSYjVRQJVRQJ86%ÿmWUӣ nên phә biӃn trên nhiӅu loҥi thiӃt bӏ Ví dө vӅ thiӃt bӏ ngoҥLYLÿѭӧc kӃt nӕi qua USB bao gӗm bàn phím, chuӝWPi\WtQKPi\TXD\SKLPPi\LQWUuQKSKiWÿDSKѭѫQJWLӋn di ÿӝng, ә ÿƭDYjEӝ ÿLӅu hӧp mҥng HiӋn các cәng kӃt nӕL86%ÿDQJGҫn thay thӃ các loҥi cáp sҥc khác cӫa các thiӃt bӏ GLÿӝng

ĈӅ tài này sӱ dөng giao thӭc USB 2.0, vӟi chӃ ÿӝ full-VSHHG0ESVĈһc tҧ chi tiӃt vӅ 86%ÿѭӧc mô tҧ ӣ tài liӋu [13] trong danh mөc tài liӋu tham khҧo

2.4 Mã hóa AES

Trong mұt mã hӑc, Advanced Encryption Standard (tiӃng Anh, viӃt tҳt: AES, QJKƭDOj7LrXFKXҭn mã hóa tiên tiӃn) là mӝt thuұt toán mã hóa khӕLÿѭӧc chính phӫ Hoa kǤ áp dөng làm tiêu chuҭn mã hóa GiӕQJ QKѭ WLrX FKXҭn tiӅn nhiӋm '(6$(6ÿѭӧc kǤ vӑng áp dөng trên phҥm vi thӃ giӟLYjÿmÿѭӧc nghiên cӭu rҩt kӻ OѭӥQJ$(6ÿѭӧc chҩp thuұn làm tiêu chuҭn liên bang bӣi ViӋn tiêu chuҭn và công nghӋ quӕc gia Hoa kǤ (NIST) sau mӝt quá trình tiêu chuҭn hóa kéo dài 5 QăP ;HPWKrPTXiWUuQKWKLӃt kӃ AES) Thuұt toáQÿѭӧc thiӃt kӃ bӣi hai nhà mұt mã hӑFQJѭӡi BӍ: Joan Daemen và Vincent Rijmen ThuұWWRiQÿѭӧFÿһt tên là "Rijndael" khi tham gia cuӝc thi thiӃt kӃ $(65LMQGDHOÿѭӧc phát âm là "Rhine dahl" theo phiên âm quӕc tӃ (IPA: [݋aindal]) Mһc dù 2 tên AES và Rijndael vүn WKѭӡQJÿѭӧc gӑi thay thӃ FKRQKDXQKѭQJWUrQWKӵc tӃ thì 2 thuұt toán không hoàn toàn giӕng nhau AES chӍ làm viӋc vӟi các khӕi dӳ liӋX ÿҫXYjRYjÿҫu ra) 128 EtWYjNKyDFyÿӝ dài 128, 192 hoһc 256 bít trong khi Rijndael có thӇ làm viӋc vӟi

Trang 22

dӳ liӋXYjNKyDFyÿӝ dài bҩt kǤ là bӝi sӕ cӫa 32 bít nҵm trong khoҧng tӯ 128 tӟi 256 bít Các khóa con sӱ dөQJWURQJFiFFKXWUuQKÿѭӧc tҥo ra bӣi quá trình tҥo khóa con Rijndael MӛLNKyDFRQFNJQJOjPӝt cӝt gӗm 4 byte Hҫu hӃt các phép toán trong thuұt toán $(6ÿӅu thӵc hiӋn trong mӝWWUѭӡng hӳu hҥn cӫa các byte Mӛi khӕi dӳ liӋXELWÿҫXYjRÿѭӧc chia thành 16 byte (mӛi byte 8 bit),có thӇ xӃp thành 4 cӝt, mӛi cӝt 4 phҫn tӱ hay là mӝt ma trұn 4x4 cӫDFiFE\WHQyÿѭӧc gӑi là ma trұn trҥng thái, hay vҳn tҳt là trҥng thái (tiӃng Anh: state, trҥng thái trong Rijndael có thӇ có thêm cӝt) Trong quá trình thӵc hiӋn thuұt toán các toán tӱ tác ÿӝQJÿӇ biӃQÿәi ma trұn trҥng thái này

ĈӅ tài này sӱ dөng mã hóa AES 128-ELWĈһc tҧ chi tiӃt vӅ $(6ÿѭӧc mô tҧ ӣ tài liӋu [14] trong danh mөc tài liӋu tham khҧo ĈӅ tài xây dӵng module AES128 WUrQFѫVӣ tham khҧo tiêu chuҭn FIPS 197 và ISO/IEC18033-3

2.5 Bӝ nhӟ Nand Flash

Bӝ nhӟ flash là mӝt loҥi bӝ nhӟ máy tính kiӇu bӝ nhӟ ÿLӋQWƭQK QRQ-volative memory), có thӇ bӏ xóa và lұp trình lҥi (reprogrammed) VӅ mһt kӻ thuұt thì bӝ nhӟ flash có thӇ ÿѭӧF GQJ QKѭ Pӝt loҥi EEPROM mà ӣ ÿy Qy Fy WKӇ ÿѭӧc ÿӑc/ghi bҵQJÿLӋn và không mҩt dӳ liӋu khi ngӯng cung cҩSÿLӋn Có 2 kiӇu bӝ nhӟ IODVKFKtQKÿmÿѭӧc tҥRUDOj1$1'Yj125ÿѭӧc cҩu thành tӯ các cәng logic Bӝ nhӟ IODVKÿѭӧc cҩu thành tӯ các phҫn tӱ (cell) nhӟ riêng rӁ vӟLFiFÿһc tính bên trong giӕQJQKѭQKӳng cәQJORJLFWѭѫQJӭQJÿmWҥRUDQyGRÿyWDFythӇ thӵc hiӋQWKDRWiFÿӑFJKLOѭXWUӳ dӳ liӋu theo tӯng phҫn tӱ (cell) nhӟ mӝt Khác vӟi các bӝ nhӟ EPROMs phҧLÿѭӧF[yDWUѭӟFNKLÿѭӧc ghi lҥi, thì bӝ nhӟ flash kiӇu cәng NAND có thӇ ÿѭӧFJKLYjÿӑc theo tӯng khӕi (block) hoһc trang (page) nhӟ, còn bӝ nhӟ flash kiӇu cәng NOR thì có thӇ ÿѭӧFÿӑc hoһc ghi mӝt FiFKÿӝc lұp theo tӯng tӯ (word) hoһc byte nhӟ cӫa máy [1]

Các chip nhӟ flash nhӓ ÿѭӧc sӱ dөng trong bӝ nhӟ dӳ liӋu cҩXKuQKWƭQKFӫa máy tính, trong máy dân dөQJQKѭWLYLTXҥt, Các chip lӟn thì dùng trong máy nghe nhҥFNƭWKXұt sӕ, máy ҧQKNƭWKXұt sӕÿLӋn thoҥLGLÿӝQJ1yFNJQJÿѭӧc sӱ

Trang 23

SLQÿӇ OѭXGӳ liӋu cӫDWUzFKѫL+DLGҥng bӝ nhӟ flash: NOR flash và NAND flash ÿӅu có nhӳQJѭXÿLӇm riêng trong ӭng dөng [1] Nhӡ lӧi thӃ trong viӋFÿӑc, bӝ nhӟ 125IODVKWKѭӡQJÿѭӧFGQJÿӇ OѭX trӳ mã nguӗn cӫD0&862&1Jѭӧc lҥi, vӟLѭXÿLӇm là bӝ nhӟ FyNtFKWKѭӟc lӟQ1$1'IODVKÿѭӧFGQJÿӇ làm bӝ nhӟ OѭXWUӳ dӳ liӋu, sҹn sàng cho viӋc truy xuҩt

2.6 Giao tiӃp I2C

I²C (viӃt tҳt cӫa tӯ tiӃng Anh "Inter-Integrated Circuit", phát âm tiӃng Anh two-C, hoһFFNJQJFyWKӇ viӃt là IIC) là mӝt loҥi bus nӕi tiӃSÿѭӧc phát triӇn bӣi hãng sҧn xuҩt linh kiӋQÿLӋn tӱ Philips (nay là hãng NXP Semiconductors) vào QăP>@,ð&ÿѭӧc sӱ dөng rӝng rãi trong viӋc tích hӧp các IC ngoҥi vi tӕc ÿӝ thҩSYjRYLÿLӅu khiӇn và vi xӱ lí ӣ khoҧng cách ngҳn, hoһFÿӇ giao tiӃp trӵc tiӃp giӳa các board mҥFKYLÿLӅu khiӇn vӟi nhau Ĉһc tҧ chi tiӃt vӅ ,ð&ÿѭӧc mô tҧ ӣ tài liӋu [15] trong danh mөc tài liӋu tham khҧo

I-2.7 Siêu dӳ liӋu (metdata)

Metadata là dӳ liӋXÿӇ mô tҧ dӳ liӋu Khi dӳ liӋXÿѭӧc cung cҩSFKRQJѭӡi dùng cuӕi, thông tin metadata sӁ cung cҩp nhӳng thông tin cho phép hӑ hiӇXU}KѫQEҧn chҩt vӅ dӳ liӋu mà hӑ ÿDQJFy1Kӳng thông tin này sӁ JL~SFKRQJѭӡi dùng có ÿѭӧc nhӳng quyӃWÿӏnh sӱ dөQJÿ~QJÿҳn và phù hӧp vӅ dӳ liӋu mà hӑ có [12]

TuǤ thuӝc vào tӯng mөFÿtFKVӱ dөng khác nhau, tӯng loҥi dӳ liӋu khác nhau mà cҩu trúc và nӝi dung dӳ liӋu metadata có thӇ có nhӳng sӵ khác biӋt Song, nhìn chung sӁ bao gӗm mӝt sӕ loҥLWK{QJWLQFѫEҧn sau:

o Thông tin mô tҧ vӅ bҧn thân dӳ liӋu metadata o Thông tin vӅ dӳ liӋu mà metadata mô tҧ

o Thông tin vӅ cá nhân, tә chӭFOLrQTXDQÿӃn dӳ liӋu metadata và dӳ liӋu

2.8 Xóa bҧo mұt

Xóa bҧo mұt là mӝt yêu cҫu tҩt yӃu trong thiӃt kӃ hӋ thӕQJOѭXWUӳ Trên thӵc tӃ, chúng ta có ít nhҩt hai khái niӋm vӅ xóa dӳ liӋu: delete và erase Sӵ thұt lj khi ch~ng ta "delete" (xya) dӳ liӋu njRÿy trên miy ttnh, trên smartphone, trên miy

Trang 24

ҧnh sӕ, hay cic thiӃt bӏ WѭѫQJWӵ, ch~ng ta không thӵc sӵ hoàn toàn gӥ bӓ ch~ng ra khӓi thiӃt bӏ, mà chӍ vӯa mӟi ҭn ch~QJÿLPj thôi Dӳ liӋu thӵc tӃ bӏ delete vүn ӣ ÿyQKѭQJNK{QJJLDQGQJÿӇ OѭXWUӳ nó bây giӡ ÿѭӧFÿiQKGҩu là khu vӵc mà hӋ ÿLӅu hành có thӇ bҳWÿҫXOѭXWUӳ dӳ liӋu mӟLÿqOrQ7URQJNKLÿyNKL³HUDVH´mӝt dӳ liӋu thì vùng nhӟ cӫa nó trên bӝ nhӟ vұt lý không còn tӗn tҥi nӳa Khái niӋP³HUDVH´Oҥi chia ra làm hai khái niӋPFRQZLSHYjVKUHG7URQJNKL³ZLSH´ÿѭӧc hiӇu là xóa toàn bӝ dӳ liӋXWKu³VKUHG´PDQJêQJKƭD[yDPӝt file riêng lҿ [21]

Xóa bҧo mұt là mӝWSKѭѫQJSKiSWKӵc hiӋQJKLÿqGӳ liӋu nhҵm mөFÿtFKSKihӫy hoàn toàn tҩt cҧ dӳ liӋXÿLӋn tӱ nҵm trên ә ÿƭDFӭng hoһFSKѭѫQJWLӋn kӻ thuұt sӕ khác bҵng cách sӱ dөng các sӕ 0 hoһFÿӇ JKLÿqGӳ liӋu lên vùng nhӟ cӫa thiӃt bӏ Bҵng cácKJKLÿqGӳ liӋu trên thiӃt bӏ OѭXWUӳ, dӳ liӋXÿѭӧc hiӇn thӏ không thӇ khôi phөFYjÿҥWÿѭӧc khҧ QăQJEҧo mұt dӳ liӋX/êWѭӣng nhҩt, phҫn mӅm hoһc phҫn cӭQJÿѭӧc thiӃt kӃ ÿӇ xóa dӳ liӋu phҧLÿҧm bҧo hai yêu cҫu:

o Cho phép lӵa chӑn mӝt tiêu chuҭn cө thӇ, dӵa trên nhu cҫu riêng

o Có mӝWSKѭѫQJSKiS[iFPLQKUҵng viӋc xóa bҧo mұWÿmWKjQKF{QJKD\FKѭD

7tQKQăQJ[yDEҧo mұWYѭӧt ra ngoài các lӋnh xóa tӋSFѫEҧn cӫa phҫn mӅm máy tính Các hӋ ÿLӅu hành chӍ xóa các con trӓ trӵc tiӃSÿӃn các khu vӵFÿƭDGӳ liӋu và làm cho viӋc khôi phөc dӳ liӋu có thӇ thӵc hiӋQÿѭӧc bҵng các công cө phҫn mӅPWK{QJWKѭӡng Không giӕQJQKѭYLӋc phá hӫy vұt lý, khiӃQSKѭѫQJWLӋn OѭXWUӳ không thӇ sӱ dөQJÿѭӧFWtQKQăQJ[yDEҧo mұt loҥi bӓ tҩt cҧ thông tin trong khi vүn giӳ ÿѭӧc bӝ nhӟ có thӇ hoҥWÿӝng [22]

NhiӅu tiêu chuҭn cӫa chính phӫ và công nghiӋSÿmUDÿӡi cho thao tác xóa an toàn dӵa trên phҫn mӅPÿӇ xóa dӳ liӋu YӃu tӕ quan trӑQJÿӇ ÿiSӭng các tiêu chuҭn này là sӕ lҫn dӳ liӋu bӏ JKLÿq1JRjLUDPӝt sӕ tiêu chuҭn yêu cҫu mӝt SKѭѫQJSKiSÿӇ xác minh rҵng tҩt cҧ dӳ liӋXÿmÿѭӧc xóa khӓi toàn bӝ ә cӭng và ÿӇ xem mүXJKLÿq+LӋn nay hai tiêu chuҭQÿѭӧc sӱ dөng phә biӃn cho viӋc xóa

Trang 25

bҧo mұt là DoD 5220.22-M và NIST 800-88 Tiêu chuҭn DoD 5220.22-M yêu cҫu 3 lҫQJKLÿq lҫQJKLÿqWRjQEӝ 0, lҫQJKLÿqWRjQEӝ 1, lҫQJKLÿqVӕ ngүu nhiên) vӟi mӝt lҫn xác minh 100% bӝ nhӟ Tiêu chuҭQQj\ÿѭӧc cұp nhұt lҫn cuӕLYjRQăPYjGRWӕFÿӝ phát triӇn cӫa công nghӋ nên tiêu chuҭn này ÿmOӛi thӡLÿiQJNӇ Các ә cӭng hiӋQÿҥi trong 10-QăPTXDÿmSKiWWULӇn công nghӋ tiên tiӃQÿӃn mӭc các kӻ thuұW0)0Yj670ÿmWUӣ nên lӛi thӡi Cө thӇ, mӝt phҫn tuyên bӕ cӫa Gutmann là hӋ thӕQJÿӏnh vӏ ÿҫu trong ә cӭQJNK{QJÿӫ chính [iFÿӇ JKLÿqGӳ liӋu mӟi lên vӏ trí chính xác cӫa dӳ liӋXFNJGRÿyFyNKҧ QăQJdӳ liӋXFNJYүn còn nguyên vҽn Các ә cӭQJQJj\QD\FKtQK[iFKѫQWKHRFҩp sӕ nhân và sӱ dөng các công nghӋ ghi hoàn toàn khác nhau, loҥi bӓ kiӇu khôi phөc Qj\QKѭPӝt lӛ hәng tiӅm ҭn [23] 7URQJNKLÿyWLrXFKXҭn NIST 800-88 vӟi các ѭXÿLӇm vӅ sӕ lҫQJKLÿqiSGөng cho tӯng loҥi thiӃt bӏ hiӋQÿҥLÿDQJOjFKXҭn xóa bҧo mұWÿѭӧc khuyӃn nghӏ sӱ dөng hiӋn nay [42]

Tiêu chuҭn NIST 800-ÿѭDUDQKLӅu giҧi pháp cho các ӭng dөng khác nhau Ĉһc biӋt tiêu chuҭQQj\NK{QJTX\ÿӏQKÿLӇPÿҥt yêu cҫu vӅ chuҭn Tùy thuӝc vào nhұQÿӏnh cӫa nhà thiӃt kӃ mà có các giҧLSKiSNKiFQKDXQKѭVѫÿӗ ErQGѭӟi [24]:

Trang 26

Hình 2.1: Quy trình xóa b̫o m̵t cho các thi͇t b͓ O˱XWUͷ

7KHRVѫÿӗ trên, chuҭn NIST 800-88 gӗm có ba mӭc bҧo mұt: thҩp (Security Categorization Low), vӯa phҧi (Security Categorization Moderate), cao (Security Categorization High) Tùy theo tӯng ӭng dөng mà nhà sҧn xuҩt cҫn chӑQUDVѫÿӗ phù hӧS7URQJÿyFyFiF\Ӄu tӕ quyӃWÿӏQKOjSKѭѫQJtiӋQFyÿѭӧc tái sӱ dөng (Reuse Media), thiӃt bӏ có nҵm ngoài tҫm kiӇm soát cӫa tә chӭc (Leving Org Control) Ӭng vӟi mӛLVѫÿӗ, tiêu chuҭQQj\ÿӅ xuҩWFiFSKѭѫQJSKiS[yDEҧo mұt khác nhau: Clear, Purge, Destroy Cho tӯng ӭng dөng cө thӃ FiF SKѭѫQJpháp ӭng vӟi Clear, Purge, Destroy lҥLFyFiFÿӅ xuҩt khác nhau

ĈӅ tài này chӑQSKѭѫQJSKiS[yDbҧo mұW³&OHDU´WKHRQKѭÿӅ xuҩt tҥi mөc ³$SSHQGL[ $²0LQLPXP 6DQLWL]DWLRQ 5HFRPPHQGDWLRQV´ WURQJ FKXҭn NIST 800-88 cho thao tác xóa file dӳ liӋX7KHRÿyÿӅ xuҩt này yêu cҫXJKLÿqPӝt mүu trong lҫn xóa thӭ nhҩWYjÿҧo cӫa mүXÿyWURQJOҫn xóa thӭ KDL6ѫÿӗ xóa file bҧo mұt:

Trang 27

Hình 1.2: Quy trình xóa b̫o m̵t cho các thi͇t b͓ nhͣ Flash

6DXNKLJKLÿqKDLOҫn lên vùng nhӟ cӫa chip nhӟ chӭa dӳ liӋu cҫn xóa, tiêu chuҭn NIST 800-88 yêu cҫu xác minh lҥi liӋXTXiWUuQK [yDÿmWKӵc hiӋQÿ~QJFKѭDWKHRKDLFiFKQKѭVDX WKHR9HULI\0HWKRGVWURQJWjLOLӋu [24]):

o Xác minh sau mӛi lҫn xóa o Xác minh lҩy mүXÿҥi diӋn

ĈӅ tài này chӑn cách xác minh thӭ nhҩt: Xác minh sau mӛi lҫn xóa vì lý do ÿҧm bҧo tiӃt kiӋm tài nguyên phҫn cӭng Nhӳng yêu cҫu phӭc tҥp vӅ lҩy mүXÿҥi diӋQQKѭOҩy ngүXQKLrQÿӏa chӍ cӫDYQJ[yDÿӝ bao phӫ mӝWYQJÿӏa chӍ trên >@«\rXFҫu nhiӅu phҫn cӭng phӭc tҥp KѫQ

Ĉӕi vӟi xóa toàn bӝ thiӃt bӏ, vì lý do vӅ thӡLJLDQ[yDQrQÿӅ tài chӍ GQJSKѭѫQJSKiS[yDWK{QJWKѭӡng: lӋnh xóa cӫa thiӃt bӏ nhӟ Vì vұ\NKLQyLÿӃn xóa bҧo mұt WURQJÿӅ tài này tӭFOjÿDQJQyLÿӃn viӋc xóa tӯng file dӳ liӋu

2.9 Tam giác CIA

Tam giác CIA (confidenttiality, integrity, availability) là khái niӋPFѫEҧn, cӕt lõi cӫa an toàn thông tin ÿѭӧc giӟi thiӋt lҫQÿҫu tiên trong ҩn phҭm cӫa NIST vào

Trang 28

QăP>@ Tam giác CIA bao gәm 3 tính chҩWFѫEҧn: tính bí mұt, tính toàn vҽn và tính sҹn sàng cӫa dӳ liӋu

Tính bí mұt là tài sҧQWK{QJWLQÿyNK{QJÿѭӧc cung cҩp hoһc tiӃt lӝ cho các cá nhân, tә chӭc hoһc quy trình trái phép Ví dө vӅ tính bҧo mұt cӫa dӳ liӋXÿLӋn tӱ bӏ xâm phҥm bao gӗPÿiQKFҳSPi\WtQK[iFKWD\ÿiQKFҳp mұt khҭu hoһc email nhҥy cҧPÿѭӧc gӱLÿӃn nhӳQJQJѭӡLNK{QJFKtQK[iF>@ĈӅ tài này thӵc hiӋn mã hóa toàn bӝ dӳ liӋu nhұp thiӃt bӏ USB cӫDQJѭӡi dùng nhҵPÿҥWÿѭӧc mөc ÿtFKNK{QJEӏ lӝ thông tin gӕc

Tính toàn vҽn cӫa dӳ liӋXFyQJKƭDOjGX\WUuYjÿҧm bҧo tíQKFKtQK[iFYjÿҫy ÿӫ cӫa dӳ liӋu trong toàn bӝ YzQJÿӡi cӫDQy>@ĈӇ ÿҥWÿѭӧc tính toàn vҽQÿӅ tài thӵc thi mӝt lӟp bҧo mұWÿӇ xác nhұQQJѭӡi dùng có thӇ truy cұp vào giao diӋn WѭѫQJWiFSKҫn mӅm

VӅ tính sҹQVjQJÿӕi vӟi bҩt kǤ hӋ thӕng thông tLQQjRÿӇ phөc vө mөFÿtFKcӫa nó, thông tin phҧi có sҹn khi cҫn Các hӋ thӕng có tính sҹn sàng cao nhҵm mөFÿtFKOX{QVҹn sàng sӱ dөQJQJăQFKһQJLiQÿRҥn dӏch vө do mҩWÿLӋn, lӛi phҫn cӭng và nâng cҩp hӋ thӕng [29]

Bӝ ba tính bí mұt, tính toàn vҽn và tính sҹn sàng cӫa CIA là trӑng tâm cӫa bҧo mұt thông tin Tuy nhiên, có rҩt nhiӅu cuӝc tranh luұn vӅ viӋc mӣ rӝng tam giác này thành nhiӅu yӃu tӕ KѫQ 1KӳQJ QJX\rQ Oê QKѭ WtQK WUiFK QKLӋm $FFRXQWDELOLW\ ÿ{LNKLÿѭӧFÿӅ xuҩWWKrPYjRQJX\rQOêFѫEҧn Thӵc tӃ ÿmFKӍ ra rҵng ví dө QKѭWtQKNK{QJWKӇ chӕi cãi (Non ± Repudiation) không thӇ biӇu diӉn bӣi tam giác trên, và vӟi sӵ phát triӇn cӫa hӋ thӕQJPi\WtQKQKѭKLӋn nay, vҩQÿӅ SKiSOê /HJDOLW\

Ngày đăng: 03/08/2024, 13:07

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN