Đồ án môn học Vi xử lý và vi điều khiển: Thiết kế hệ thống điều khiển ánh sáng phòng thông minh dùng vi điều khiển PIC

49 3 0
Tài liệu đã được kiểm tra trùng lặp
Đồ án môn học Vi xử lý và vi điều khiển: Thiết kế hệ thống điều khiển ánh sáng phòng thông minh dùng vi điều khiển PIC

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án môn học Vi xử lý và Vi điều khiển Thiết kế hệ thống điều khiển ánh sáng phòng thông minh dùng vi điều khiển PIC18F

Trang 1

TRƯỜNG ĐẠI HỌC BÁCH KHOAKHOA ĐIỆN

Trang 2

⦁ NGUYÊN LÝ HOẠT ĐỘNG CỦA HỆ THỐNG VI ĐIỀU KHIỂN⦁ Xây dựng mục tiêu và sơ đồ khối của hệ thống dùng vi điều khiển Pic:

⦁ Xây dựng mục tiêu:

Với sự phát triển ngày càng mạnh mẽ và rộng lớn của nền khoa học kỹ thuật Các công nghệ mới thuộc các lĩnh vực khác nhau cũng nhờ đó đã ra đời để đáp ứng như cầu của xã hội, và trong đó phải để đến sự phát minh ra Vi điều khiển.

Trong các ứng dụng công nghiệp và cuộc sống, vi điều khiển được sữ dụng rộng rãi phát huy những ưu điểm vượt trội, mang lại hiệu quả cao hơn đối với các công việc mang tính chất lặp đi lặp lại Vi điều khiển có độ chính xác cao, hoạt động liên tục, giảm thiểu lao động, sự giám sát của con người Nhằm đưa ứng dụng của vi điều khiển vào điều kiện thực tế, gần gủi cuộc

sống con người, ở đây nhóm tôi xin được trình bày đề tài: “Thiết kế hệ thống

điều khiển ánh sáng phòng thông minh dùng vi điều khiển”.

⦁ Sơ đồ khối của hệ thống dùng vi điều khiển Pic

2

Trang 3

Hình 1.1 Sơ đồ khối của hệ thống dùng vi điều khiển Pic

⦁ Khối chấp hành: Sử dụng rơ le để bật/ tắt bóng đèn khi có tín hiệu nhận từ

khối xử lý.

⦁ Khối cảm biến: sử dụng Module PIR, nhiệm vụ của khối này là phát hiện bức

xạ hồng ngoại từ các đối tượng Khi phát hiện chuyển động (bức xạ hồng ngoại thu

3

Trang 4

được thay đổi), cảm biến PIR sẽ xuất 1 xung ở mức cao đưa vào vi điều khiển PIC 18F4520 để thực hiện chức năng bật đèn

⦁ Khối hiển thị và cảnh báo : gồm led 7 đoạn có chức năng hiển thị số lần bật

-tắt đèn và 3 led xanh, đỏ, vàng thông báo mức thấp, trung bình, cao đối với số lần bật tắt đèn sau khi nhận tín hiệu từ khối xử lý.

⦁ Nguyên lý hoạt động của hệ thống

Cảm biển quang trở thay đổi điện trở khi thay đổi cường độ ánh sáng thay đổi, qua bộ biến đổi trở thành tín hiệu Analog được chuyển trực tiếp vào vi điều khiển PIC 18F4520.

Vi điều chuyển đổi tín hiệu Analog nhân được thành tín hiệu Digital mã đọc nhị phân, kết hợp với các thuật toán của chương trình để đưa ra các thông số điều khiển thiết bị ra theo mong muốn của người sữ dụng

Cụ thể bộ cảm biến có nhiệm vụ đếm số người vào/ra phòng sau đó đưa vào vi xữ lý, vi xữ lý xuất ra tín hiệu hiển thị số người trong phòng, đồng thời điều khiển số đèn bật tắt trong phòng như đã mong muốn sữ dụng từ trước.

4

Trang 5

⦁ TÍNH CHỌN CÁC LINH KIỆN SỬ DỤNG TRONG HỆ THỐNG⦁ Giới thiệu các linh kiện trong hệ thống

⦁ Tổng quan về họ vi điều khiển PIC

PIC là một họ vi điều khiển RISC được sản xuất bởi công ty Microchip Technology Dòng PIC đầu tiên là PIC1650 được phát triển bởi Microelectronics Division thuộc General_Instrument PIC bắt nguồn từ chữ viết tắt của “Programmable Intelligent Computer” (Máy tính khả trình thông minh) là một sản phẩm của hãng General Instruments đặt cho dòng sản phẩm đầu tiên của họ là PIC1650 Lúc này, PIC 1650 được dùng để giao tiếp với các thiết bị ngoại vi cho máy chủ 16 bit CP1600 CP1600 là một CPU tốt, nhưng lại kém về các hoạt động xuất nhập, và vì vậy PIC 8-bit được phát triển vào khoảng năm 1975 để hỗ trợ hoạt động xuất nhập cho CP1600 PIC sử dụng microcode đơn giản đặt trong ROM, và mặc dù, cụm từ RISC chưa được sử dụng thời bấy giờ, nhưng PIC thực sự là một vi điều khiển với kiến trúc RISC, chạy một lệnh một chu kỳ máy (4 chu kỳ của bộ dao động) PICđược bổ sung EPROM để tạo thành 1 bộ điều khiển vào ra khả trình Ngày nay rất nhiều dòng PIC được xuất xưởng với hàng loạt các module ngoại vi tích hợp sẵn (như USART,PWM, ADC…), với bộ nhớ chương trình từ 512 Word đến 32K Word.

⦁ Một số đặc tính của Vi điều khiển PIC

Hiện nay có khá nhiều dòng PIC và có rất nhiều khác biệt về phần cứng, nhưng chúng ta có thể điểm qua một vài nét như sau :

⦁ 8/16 bit CPU, xây dựng theo kiến trúc Harvard có sửa đổi⦁ FLASH và ROM có thể tuỳ chọn từ 256 byte đến 256 Kbyte

⦁ Các cổng xuất/nhập (I/O ports) (mức logic thường từ 0V đến 5.5V, ứng với logic 0 và logic 1)

⦁ 8/16 Bit Timer

5

Trang 6

⦁ Các chuẩn giao tiếp ngoại vi nối tiếp đồng bộ/Không đồng bộ USART, AUSART, EUSARTs

⦁ Bộ chuyển đổi ADC⦁ Bộ so sánh điện áp

⦁ Các module Capture/Compare/PWM⦁ LCD

⦁ Bộ nhớ nội EEPROM - có thể ghi/xoá lên tới 1 triệu lần⦁ FLASH có thể ghi/xóa 10.000 lần

⦁ Module Điều khiển động cơ, đọc encoder⦁ Hỗ trợ giao tiếp USB

⦁ Hỗ trợ điều khiển Ethernet⦁ Một số dòng có tích hợp bộ RF ⦁ KEELOQ Mã hoá và giải mã

6

Trang 7

DSP những tính năng xử lý tín hiệu số (dsPIC)

7

Trang 8

⦁ Hai module Capture, Compare, PWM

⦁ Capture có độ rộng 16 bit, độ phân giải 12.5ns⦁ Compare có độ rộng 16 bit, độ phân giải 200ns

⦁ PWM: chân CCPx tạo ra đầu ra PWM có độ phân giải 10 bit bit TRIS thích hợp phải được xóa để làm cho chân của CCP2 trở thành đầu ra ⦁ 25mA dòng vào cho mỗi chân

⦁ 20mA dòng ra cho mỗi chân.

⦁ Nguồn đơn 5V cấp cho mạch lập trình nối tiếp

⦁ Watchdog Timer (WDT) với bộ dao động RC tích hợp sẵn trên Chip

8

Trang 9

cho hoạt động đáng tin cậy ⦁ Có thể lập trình mờ bảo vệ

⦁ Vi điều khiển PIC18F4520

⦁ PIC 18F4520 là loại vi điều khiển 8 bit tầm trung của hãng microchip ⦁ PIC 18F4520 có kiến trúc Havard, sử dụng tập lệnh kiểu RISC với chỉ 35

Trang 10

⦁ Cổng xuất nhập I/O

⦁ Cổng A gồm 6 chân: RA0, RA1 RA5 ⦁ Cổng B gồm 8 chân: RB0, RB1, RB7 ⦁ Cổng C gồm 8 chân: RC0, RC1, RC7 ⦁ Cổng D gồm 8 chân: RD0, RD1, RD7 ⦁ Cổng E gồm 3 chân: RE0, RE1, RE2

Mỗi cổng thực chất được quản lý bởi các thanh ghi PORTA, PORTB, PORTC, PORTD, PORTE nằm trong bộ nhớ RAM của vi điều khiển.

⦁ Tính đa chức năng của một chân trên vi điều khiển

Nhìn vào sơ đồ chân của vi điều khiển, ta có thể thấy một số chân của vi điều khiển có tên gồm nhiều phần với dấu gạch chéo

Ví dụ: RA0/AN0, RC7/RX/DT, RC6/TX/CK

Đây chính là tính đa chức năng của một chân trên vi điều khiển hay còn gọi là sự dồn kênh Ý nghĩa của nó là: Bình thường nếu không được cài đặt thì tấc cả các chân trên 5 cổng A, B, C, D, E là các chân vào ra số I/O Nếu trong chương trình ta có cài đặt một chức năng nào đó như RS232, ADC hoặc PWM v.v thì các chân tương ứng với chức năng đó sẽ hoạt động theo chức năng đó Khi đó chân này sẽ không được dùng làm chân vào ra số như bình thường nữa.

Trang 11

chân dùng trong mạch chạy và xung lập trình ICSP.

⦁ PORT A: Cổng vào ra.

⦁ Chân 2(RA0/AN0):với RA0 là cổng vào ra số, AN0 là đầu vào tương tự Input0

⦁ Chân 3(RA1/AN1): RA1 là cổng vào ra số, AN1 là đầu vào tương tự Input1 ⦁ Chân 4(RA2/AN2/VREF+): RA2 là cổng vào ra số, AN2 là đầu vào tương tự

Input2 VREF+ đầu vào tương tự chuyển đổi A/D điện áp tham chiếu(mức thấp), còn CVREF là đầu ra tương tự để so sánh điện áp chuẩn

⦁ Chân 5(RA3/AN3/VREF-/CVREF ): RA3 là cổng vào ra số, AN3 là đầu vào tương tự Input3 VREF- đầu vào tương tự chuyển đổi A/D điện áp tham chiếu(mức cao)

⦁ Chân 6(RA4/T0CKI/C1OUT): RA4 là đầu vào ra số,T0CKI dầu vào xung bên ngoài của Timer0, C1OUT là đầu ra bộ so sánh 1

⦁ Chân 7(RA5/AN4/SS/HLVDIN/C2OUT): trong đó RA5 là cổng vào ra só, AN4 là đầu vào tương tự Input 4, SSchọn đầu vào phụ thuộc SPI, HLVDINđầu vào tương tự để dò điện áp, C2OUT đầu ra bộ so sánh 2.

⦁ Chân 14(OSC2/CLKO/RA6): OSC2 là đầu ra bộ dao động thạch anh được nối với thạch anh hoặc bộ công hưởng dể lựa chọn dạng bộ dao động thạch anh CLK0 có tần số bằng ¼ tần số của OSC1 đọ rộng chu kì lệnh, RA6 là đầu vào ra chung.

⦁ Chân 34(RB1/INT1/AN10): RB1 là đầu vào ra số, INT1 đầu vào ngắt ngoài Interrup1, AN10 đầu tương tự Input 10

⦁ Chân 35(RB2/INT2/AN8): RB2 là đầu vào ra số, INT2 đầu vào ngắt ngoài Interrup2, AN8 đầu tương tự Input 8

11

Trang 12

⦁ Chân 36 (RB3/AN9/ccp2): RB3 là đầu vào ra số, AN9 đầu tương tự Input 9, CCP2 ( Capture 2 input/Compare 2 output/PWM2 output.)

⦁ Chân 37(RB4/KBI0/AN11):): RB4 là đầu vào ra số, KBI0 thay đổi mở ngắt, AN11 đầu tương tự Input 9

⦁ Chân 38(RB5/KBI1/PGM): RB5 đầu vào ra số, KBI1 thay đổi mở ngắt, PGM cho phép có thể lập trình ISCPTM ở điện áp thấp.

⦁ Chân 23(RC4/SDI/SDA): RC4 là đầu vào ra số, SDI đầu vào dữ liệu API, SDA đầu vào ra dữ liệu cho I2C

⦁ Chân 24(RC5/SDO): RC5 đầu vào ra số, SDO đầu ra dữ liệu SPI

⦁ Chân 25(RC6/TX/CK): RC6 đầu vào ra số, TX đầu ra chuyển đổi dị b ộ EUSARRT, CK dầu vào ra xung đồng bộ EUSART

⦁ Chân 26(RC7/RX/DT): RC7 đầu vào ra số, RX đầu vào nhận dị bộ EUSART, DT đầu vào ra dữ liệu đồng bộ EUSART.

Trang 13

⦁ Cấu trúc bộ nhớ

Bộ nhớ RAM của vi điều khiển PIC 18F4520 gồm 4 bank nhớ Nhìn vào các bank nhớ ta có thể thấy các thanh ghi được đặt tên và các thanh ghi đa mục đích (General Purpose Register)

⦁ Các thanh ghi được đặt tên là các thanh ghi đặc biệt dùng để điều khiển, quản lý hoặc thể hiện trạng thái của các khối chức năng trong vi điều khiển ⦁ Các thanh ghi đa mục đích được dùng để đặt biến trong một chương trình

ứng dụng của vi điều khiển

13

Trang 16

⦁ Hình 2.3 Sơ đồ bank nhớ PIC18F4520

⦁ Khi có ánh sáng chiếu vào càng mạnh thì điện trở quang trở càng giảm, dao động từ khoảng vài trăm Ω đến vài MΩ.

16

Trang 17

Thông số kĩ thuật

⦁ Điện áp tối đa: 250 VDC,⦁ Công suất tối đa: 200mW⦁ Giá trị đỉnh Spectrum: 540 nm

⦁ Kháng ánh sáng (10Lux): 10 ~ 20 (KΩ)⦁ kháng Dark: 2 (MΩ)

⦁ Nhiệt độ môi trường : -30 ~ +70oC⦁ Giá trị c (1000 | 10): 0,6

⦁ Thời gian đáp ứng (ms): Tăng: 30ms - Giảm: 30ms

⦁ Led 7 đoạn

17

Trang 18

⦁ Hình 2.5 Led 7 đoạn

- Led 7 đoạn có cấu tạo bao gồm 7 led đơn xếp theo hình phía trên

- 8 led đơn trên led 7 thanh có Anode hoặc cathode được nối chung với nhau vào 1 điểm và được đưa chân ra ngoài để kết nối với mạch điện 7 cực còn lại trên mỗi led đơn của led 7 đoạn và 1 cực trên led đơn ở góc dưới, bên phải của led 7 đoạn được đưa thành 8 chân riêng để điều khiển cho led sáng tắt.

- Nếu led 7 đoạn có Anode chung, đầu chung này được nối với +Vcc, các chân

18

Trang 19

còn lại dùng để điều khiển trạng thái sáng tắt của các led đơn, led chỉ sáng khi tín hiệu đặt vào các chân này ở mức 0

- Nếu led 7 đoạn có Cathode chung, đầu chung này được nối xuống Ground, các chân còn lại dùng để điều khiển trạng thái sáng tắt của các led đơn, led chỉ sáng khi tín hiệu đặt vào các chân này ở mức 1.

⦁ Mã led 7 đoạn

Mã led 7 đoạn có Anode chung, muốn chân nào sáng ta xuất ra chân Cathode của led đơn đó mức 0:

19

Trang 20

Hình 2.6 Mã led 7 đoạn Anode chung

Trang 21

Nhưng trong đồ án này chúng tôi chỉ sử dụng chức năng so sánh điện áp của Opam.

⦁ Hình 2.7 Opam LM358

⦁ Nguyên lý hoạt động

⦁ Mạch opam nhận tín hiệu ở ngõ vào đảo và ngõ vào không đảo, sau đó thực hiện khuếch đại tín hiệu chênh lệch và thực hiện so sánh giữa 2 tín hiệu ở ngõ vào đảo và ngõ vào không đảo

⦁ Tín hiệu điện áp ngõ vào không đảo: V1 = V+⦁ Tín hiện điện áp ngõ vào đảo: V2 = V-

⦁ Nếu tín điện áp ở ngõ vào không đảo lớn hơn tín hiệu điện áp ở ngõ vào đảo thì ngõ ra được set lên mức logic 1 và ngược lại ngõ ra sẽ được set mức logic 0.

Thông số kĩ thuật

⦁ Model: 14 chân, xuyên lỗ

⦁ Điện áp: 3-32V với nguồn đơn,

21

Trang 22

1.5-16V với nguồn đôi⦁ Dải nhiệt độ hoạt động: 0 ~ 70oC⦁ Độ lợi khuếch đại DC 100dB⦁ Nhiệt độ thích hợp:

⦁ BJT thường được sữ dụng với các chức năng như:⦁ Công tắc khóa điện

⦁ Tranzito khuếch đại

Nhưng trong đồ án này chúng tôi chỉ sử dụng chức năng công tắc khóa điện của BJT 2N2222

22

Trang 23

⦁ Hình 2.8 Cấu tạo BJT

⦁ Nguyên lý làm việc

⦁ Khi có sự chênh lệch điện áp giữa 2 chân E và C với , BJT được phân cực thuận, sẵn sàng dẫn điện cho dòng điện chạy từ chân E sang chân C Nhưng do chưa có dòng điện kích thích từ chân B nên BJT vẫn khóa.

⦁ Khi ở chân C được đặt với điện thế thấp hơn điện thế ở chân E: thì có dòng điện chạy từ chân E sang chân B, BJT được kích thích chuyển từ mức logic [0] sang mức logic [1], BJT mở, dẫn dòng điện từ chân E sang chân C.

Thông số kĩ thuật

⦁ Điện áp tối đa: 50 V⦁ Dòng điện : 150 mA⦁ Nhiệt độ tối đa:

23

Trang 24

⦁ Công suất hao phí định mức: 0,2W⦁ Hệ số khuếch đại:

⦁ Số chân: 3

⦁ Module cảm biến hồng ngoại:

Đây là mạch cảm biến có khả năng thích nghi với môi trường, có một cặp truyền và nhận tia hồng ngoại

⦁ Nguyên lý làm việc:

Led phát sẽ phát tia hồng ngoại, phát ra một tần số nhất định, khi phát hiện có vật cản ( mặt phản xạ ) trên hướng truyền, tia hồng ngoại sẽ phản xạ vào đèn thu hồng ngoại làm led thu hồng ngoại sẽ thay đổi điện áp vào chân so sánh của IC LM358 Sau khi so sánh, đèn led sẽ sáng lên, đồng thời đầu cho tín hiệu số đầu ra.

Khoảng cách làm việc hiệu quả 2 -5cm, điện áp làm việc 3,3V đến 5V Độ nhạy sáng của cảm biến được điều chỉnh bằng chiết áp, cảm biến dễ lắp ráp, dễ sử dụng…

Trang 25

⦁ Hình 2.9 Module cảm biến vật cản hồng ngoại

25

Trang 26

⦁ Mạch nguyên lý ( Schematic )

Thông số kĩ thuật

⦁ Bộ so sánh sử dụng LM 358 , làm việc ổn định⦁ Điên áp làm việc 3,3V – 5V

⦁ Kích thước: 4*2.5cm

⦁ Các linh kiện trong mạch:

⦁ Linh kiện Số lượng⦁ Điện trở 330Ω ( ¼ W 5% ) 02⦁ Điện trở 10K ( ¼ W 5% ) 01⦁ IC LM358 01⦁ Biến trở tam giác 10K 01⦁ Led thu hồng ngoại 01⦁ Led phát hồng ngoại 01

26

Trang 27

⦁ Led đơn 01⦁

Rơ-le đóng ngắt thiết bị

Hình 2.10 Hình thực tế và sơ đồ nguyên lý relay

⦁ Cấu tạo của rơ le trung gian

⦁ Thiết bị nam châm điện này có thiết kế gồm lõi thép động, lõi thép tĩnh và cuộn dây Cuộn dây bên trong có thể là cuộn cường độ, cuộn điện áp, hoặc cả cuộn điện áp và cuộn cường độ Lõi thép động được găng bởi lò xo cùng định vị bằng một vít điều chỉnh Cơ chế tiếp điểm bao gồm tiếp điểm nghịch và tiếp điểm nghịch.

Trang 28

tiếp điểm điện bị thay đổi có thể là 1 hoặc nhiều, tùy vào thiết kế

⦁ Rơ le có 2 mạch độc lập nhau hoạt động Một mạch là để điều khiển cuộn dây của rơ le: Cho dòng chạy qua cuộn dây hay không, hay có nghĩa là điều khiển rơ le ở trạng thái ON hay OFF Một mạch điều khiển dòng điện ta cần kiểm soát có qua được rơ le hay không dựa vào trạng thái ON hay OFF của rơ le.

⦁ Tính chọn linh kiện trong mạch⦁ Mạch nguồn

⦁ Sử dụng nguồn Vcc=12V biến đổi từ adaptor 220V/12V có sẵn trên thị trường cấp cho toàn bộ hệ thống

28

Trang 29

Hình 2.11 Adapter

⦁ Điện áp ra: 12V⦁ Dòng điện ra: 2A⦁ Điện trở hạn dòng: 200

⦁ Tính điện trở qua led 7 đoạn

Trang 30

Khi đó:

⦁ Nguồn Vcc=12VTa chọn: Dòng điện

⦁ Nên ta chọn

⦁ Nguồn Vcc=5VTa chọn: Dòng điện

⦁ Công suất tiêu thụ của mạch:

⦁ Điện trở mạch nguồn: P1=RI2=200x(25x10-3)2=0.125W⦁ Transistor 2SC1015: P2=P2SC1015x5=0.5x5=2,5W

⦁ Trở hạn dòng cho LED: P3=7xRI2=7x220x(20x10-3)2=0.616W

⦁ PIC18f4520: P4=Ix(số chân)x(Vcc-0.7)=0.025x40x(5-0.7)=4.3W

⦁ Module cảm biến: P5=UI=5x0.02=0.1W⦁ Tổng hao khác trên mạch cho: P6=1W

⦁ Tổng công suất tiêu thụ của mạch:

P = P1 + P2 + P3 + P4 + P5 + P6 = 8,641(W)

30

Trang 31

⦁ MÔ PHỎNG HỆ THỐNG⦁ Thiết kế mạch

31

Trang 32

Thiết kế mạch nguyên lí cho hệ thống

32

Trang 33

⦁ Khối Nguồn.

Mạch nguồn cấp vào vi điều khiển:

Ta sử dụng Adapter 12V-2A làm nguồn nuôi của mạch ⦁ Điện áp đầu vào: AC100-240V 50/60HZ⦁ Điện áp ra: 12VDC

⦁ Dòng điện ra: Max 2A

⦁ Chiều dài đường dây đầu ra: tổng chiều dài 1,2m

⦁ Nguồn nuôi

⦁ Khối xử lý

- Vi xử lý muốn hoạt động được cần có một nguồn tạo dao động Mặc định VXL PIC18F4520 sử dụng bộ tạo dao động nội, ngoài ra có thể sử dụng nguồn tạo dao động ngoại bằng cách đưa vào các chân OSC1/RA7 và OSC2/RA6

- Chọn mạch dao động thạch anh: Lựa chọn thạch anh 20MHz được mắc như hình vẽ dưới với 2 tụ C1 và C2 có giá trị lần lượt là C1 = C2 = 15pF.

Thông số lựa chọn linh kiện cho mạch dao động được cung cấp bởi nhà sản xuất:

33

Ngày đăng: 21/05/2024, 15:26

Tài liệu cùng người dùng

Tài liệu liên quan