1. Trang chủ
  2. » Luận Văn - Báo Cáo

BÁO CÁO BTL LOGIC SỐ

2 1 0

Đang tải... (xem toàn văn)

THÔNG TIN TÀI LIỆU

Nội dung

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG KHOA KỸ THUẬT ĐIỆN TỬ 1 BÀI TẬP LỚN MÔN THIẾT KẾ LOGIC SỐ Đề tài Thiết kế bộ đếm từ 0 đến 9999 bằng ngôn ngữ VHDL Giảng viên HD Nguyễn Văn Thành I. TÌM HIỂU VỀ LED 7 ĐOẠN 1.Khái niệm về led 7 đoạn? Led 7 đoạn là thiết bị hiển thị điện tử để hiển thị số. Khi mỗi đoạn chiếu sáng thì một phần của chữ số sẽ được hiển thị. Led 7 đoạn được sủ dụng rộng rãi tỏng đồng hồ số, máy tính. 2. Cấu tạo LED 7 đoạn bao gồm 8 LED được kết nối song song để có thể thắp sáng hiển thị số “0, 1, 2, 3, 4, 5, 7, 8, 9, A, b, C, d, E, F, …”. Mỗi đoạn Led được đánh dấu từ A tới G. Đoạn thứ tám gọi là “chấm thập phân” (Decimal Point) ký hiệu DP được sử dụng khi hiển thị số không phải là số nguyên 3. Phân loại LED 7 đoạn: Dựa vào các cực được nối, có thể phân loại LED 7 đoạn như sau: Loại dương chung (Common Anode): nếu cực dương (anode) của tất cả 8 LED được nối với nhau và các cực âm (cathode) đứng riêng lẻ. Loại âm chung (Common Cathode): nếu cực âm (cathode) của tất cả 8 LED được nối với nhau và các cực dương (anode) đứng riêng lẻ. 4. Nguyên lí hoạt động Nguyên tắc chung : muốn LED nào sáng thì LED đó phải được phân cực thuận.Do đó muốn tạo ra chữ số nào ta chỉ cần cho LED ở các vị trí tương ứng sáng lên. Bảng mô tả cách tạo ra các chữ số để hiển thị lên LED 7 đoạn: + Dương chung : + Âm chung:

HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG KHOA KỸ THUẬT ĐIỆN TỬ - - BÀI TẬP LỚN MÔN THIẾT KẾ LOGIC SỐ Đề tài Thiết kế đếm từ đến 9999 ngôn ngữ VHDL Giảng viên HD : Nguyễn Văn Thành Tên sinh viên : Hoàng Thị Hồng Ngát Mã sinh viên : B19DCDT159 HÀ NỘI, THÁNG 11/2022  

Ngày đăng: 23/04/2023, 20:31

w