1. Trang chủ
  2. » Luận Văn - Báo Cáo

Btl-Logic-So-Hoàng Ngát.docx

18 2 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

BỘ THÔNG TIN & TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG  THIẾT KẾ LOGIC SỐ BÁO CÁO MẠCH QUÉT LED ĐẾM 0000 9999 Giảng viên Nguyễn Văn Thành Sinh viên Hoàng Thị Hồng Ngát Mã sinh viê[.]

BỘ THÔNG TIN & TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THƠNG - - THIẾT KẾ LOGIC SỐ BÁO CÁO: MẠCH QUÉT LED ĐẾM 0000-9999 Giảng viên : Nguyễn Văn Thành Sinh viên : Hoàng Thị Hồng Ngát Mã sinh viên : B19DCDT159 Lớp : D19XLTH Hà Nội, tháng 11 năm 2022   LỜI NÓI ĐẦU Lời đầu tiên em xin được gửi lời cảm ơn sâu sắc tới thầy Nguyễn Văn Thành đã giảng dạy cho bọn em về môn học Thiết kế logic số , và nhà trường đã tạo điều kiện cho sinh viên được tham gia học tập môn học thú vị này ! Trong thời đại phát triển mạnh mẽ về công nghiệp hóa- hiện đại hóa ngày nay, các quốc gia đã và phát triển rất mạnh về các mạch điều khiển.Thông qua những ý tưởng thì em đã thực hiện đề tài “Thiết kế led đoạn hiển thị số’’ Từ việc tìm kiếm tài liệu và tham khảo cũng thực hiện vẽ mạch phần mềm em đã hoàn thành được đề tài này Trong quá trình báo cáo không thể tránh khỏi những sai sót và hạn chế , em rất mong được sự góp ý từ thầy để bài báo cáo của nhóm em được hoàn thiện nữa ! Chúng em xin chân thành cảm ơn ! Hà Nội , ngày tháng 11 năm 2022 Mục lục I TÌM HIỂU VỀ LED ĐOẠN .5 1.Khái niệm về led đoạn? Cấu tạo Phân loại LED đoạn: Nguyên lí hoạt động LED đoạn điều khiển khơng? II TÌM HIỂU MẠCH QUÉT LED ĐOẠN ĐẾM 0-9999 Cấu tạo Nguyên lí hoạt động III TÌM HIỂU VỀ NGÔN NGỮ LẬP TRÌNH VHDL 10 IV GIẢI THÍCH CODE .11 Khối tạo clock: 11 Khối điều khiển thực hiện: 11 Khởi tạo các file code 12 I TÌM HIỂU VỀ LED ĐOẠN 1.Khái niệm về led đoạn? Led đoạn thiết bị hiển thị điện tử để hiển thị số Khi đoạn chiếu sáng phần chữ số hiển thị Led đoạn sủ dụng rộng rãi tỏng đồng hồ số, máy tính Cấu tạo LED đoạn bao gồm LED kết nối song song để thắp sáng hiển thị số  “0, 1, 2, 3, 4, 5, 7, 8, 9, A, b, C, d, E, F, …” Mỗi đoạn Led đánh dấu từ A tới G Đoạn thứ tám gọi “chấm thập phân” (Decimal Point) ký hiệu DP sử dụng hiển thị số số nguyên Phân loại LED đoạn: Dựa vào cực nối, phân loại LED đoạn sau: - Loại dương chung (Common Anode): cực dương (anode) tất LED nối với cực âm (cathode) đứng riêng lẻ - Loại âm chung (Common Cathode): cực âm (cathode) tất LED nối với cực dương (anode) đứng riêng lẻ Nguyên lí hoạt động Nguyên tắc chung : muốn LED sáng LED phải phân cực thuận.Do muốn tạo chữ số ta cần cho LED vị trí tương ứng sáng lên Bảng mô tả cách tạo chữ số để hiển thị lên LED đoạn: + Dương chung : + Âm chung: LED đoạn điều khiển khơng? Câu trả lời có, LED điều khiển theo 03 cách sau: Kết nối trực tiếp chân điều khiển LED với PORT vi điều khiển Dùng quét LED Sử dụng quét LED với IC chốt liệu – Cách giúp tiết kiệm chân điều khiển LED đoạn II TÌM HIỂU MẠCH QUÉT LED ĐOẠN ĐẾM 0-9999 Cấu tạo Hiện có loại catot chung anot chung em dùng Anot chung led chung LED : a chung a, b chung b Nó điều khiển chân Anot Khi cấp nguồn vào chân abcd lúc LED chưa sáng Khi ta cho nguồn vào chân điều sáng cho vào chân điều khiển sáng LED tương ứng với chân điều khiển 2 Nguyên lí hoạt động - Khối tạo clock: Từ tần số ban đầu chia làm hai nhánh tạo tần số đếm tần số quét led cấp vào khối điều khiển - Khối điều khiển: Cứ sau chu kỳ CLK đếm khối thực xuất mã BCD cấp khối giải mã sau chu kỳ quét led định xuất tín hiệu điều khiển chọn led đoạn sáng Tín hiệu RST để reset đếm 0000 - Khối giải mã thực công việc giải mã BCD sang mã led đoạn - Ở dựa theo nguyên tắc quét ảnh mắt có tượng lưu ảnh võng mạch nên ta quét với tần số nhanh mắt khơng có cảm giác sáng LED mà có cảm giác sáng tất - Đầu tiên ta phải gửi liệu muốn thị cho LED1 chân bật chân điều khiển LED1 lên LED1 thị Sau ta lại gửi tiếp liệu muốn thị LED phải bật chân điều khiển LED2 Để cho số thị lên LED2 Cứ cho LED LED4 - Quá trình diễn nhanh với tần số cao Nên ta ko thể có cảm giác LED sáng Để kiểm tra nguyên lý pác tăng Delay lên để thấy rõ qt LED nó! Ví dụ: Để thị số 1234 LED vạch Đầu tiên ta phải cho đèn sáng sau đèn sáng sau đến đèn Ta cho LED thị lặp lặp lại với tần sô cao nên ta số 1234 thị LED III TÌM HIỂU VỀ NGƠN NGỮ LẬP TRÌNH VHDL VHDL ngơn ngữ mơ tả phần cứng cho mạch tích hợp tốc độ cao, loại ngôn ngữ mô tả phần cứng phát triển dùng cho trương trình VHSIC( Very High Speed Itergrated Circuit) quốc phòng Mỹ Mục tiêu việc phát triển VHDL có ngôn ngữ mô phần cứng tiêu chuẩn thống cho phép thử nghiệm hệ thống số nhanh cho phép dễ dàng đưa hệ thống vào ứng dụng thực tế Ngôn ngữ VHDL ba công ty Intermetics, IBM Texas Instruments bắt đầu nghiên cứu phát triển vào tháng năm 1983 Phiên công bố vào tháng 8-1985 Sau VHDL đề xuất để tổ chức IEEE xem xét thành tiêu chuẩn chung Ưu điểm của VHDL: - Thứ tính cơng cộng: VHDL phát triển bảo trợ phủ Mỹ tiêu chuẩn IEEE VHDL hỗ trợ nhiều nhà sản xuất thiết bị nhiều nhà cung cấp công cụ thiết kế mô hệ thống - Thứ hai khả hỗ trợ nhiều công nghệ nhiều phương pháp thiết kế: VHDL cho phép thiết kế nhiều phương pháp ví dụ phương pháp thiết kế từ xuống, hay từ lên dựa vào thư viện sẵn có VHDL hỗ trợ cho nhiều loại công cụ xây dựng mạch sử dụng công nghệ đồng hay không đồng bộ, sử dụng ma trận lập trình hay sử dụng mảng ngẫu nhiên - Thứ ba tính độc lập với cơng nghệ:VHDL hồn tồn độc lập với cơng nghệ chế tạo phần cứng Một mô tả hệ thống dùng VHDL thiết kế mức cổng chuyển thành tổng hợp mạch khác tuỳ thuộc công nghệ chế tạo phần cứng đời áp dụng cho hệ thống thiết kế - Thứ tư khả mô tả mở rộng: VHDL cho phép mô tả hoạt động phần cứng từ mức hệ thống số mức cổng VHDL có khả mơ tả hoạt động hệ thống nhiều mức sử dụng cú pháp chặt chẽ thống cho mức Như ta mơ thiết kế bao gồm hệ mô tả chi tiết - Thứ năm khả trao đổi kết quả: Vì VHDL tiêu chuẩn chấp nhận, nên mơ hình VHDL chạy mô tả đáp ứng tiêu chuẩn VHDL Các kết mơ tả hệ thống trao đổi nhà thiết kế sử dụng công cụ thiết kế khác tuân theo tiêu chuẩn VHDL Cũng nhóm thiết kế trao đổi mô tả mức cao hệ thống hệ thống lớn (trong hệ thiết kế độc lập) - Thứ sáu khả hỗ trợ thiết kế mức lớn khả sử dụng lại thiết kế: VHDL phát triển ngơn ngữ lập trình bậc cao, sử dụng để thiết kế hệ thống lớn với tham gia nhóm nhiều người Bên ngơn ngữ VHDL có nhiều tính hỗ trợ việc quản lý, thử nghiệm chia sẻ thiết kế Và cho phép dùng lại phần có sẵn IV GIẢI THÍCH CODE Khối tạo clock: • Sử dụng component freDivide để chia tần số clock ban đầu thành hai nhánh • Hệ số chia định tần số hai nhánh clock, tần số dùng để quét led phải lớn nhiều so với tần số đếm • Code sử dụng hệ số chia N = cho tần số quét N = 1000 cho tần số đếm Khối điều khiển thực hiện: - Xử lý liệu hiển thị kiểu integer tách hàng trăm, chục, đơn vi: Digit3

Ngày đăng: 23/04/2023, 20:41

Xem thêm:

w