1. Trang chủ
  2. » Giáo Dục - Đào Tạo

(TIỂU LUẬN) HOMEWORK REPORT COURSE microprocessor(lab)

12 5 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

HO CHI MINH CITY UNIVERSITY OF TECHNOLOGY FACULTY OF ELECTRICAL ELECTRONICS ENGINEERING -o0o - HOMEWORK REPORT COURSE: Microprocessor(Lab) CLASS: TT02 INSTRUCTOR: Dr Nguyễn Trung Hiếu Nguyễn Huy Khang HO CHI MINH CITY, FEBRUARY 2022 Code: library ieee; use ieee.std_logic_1164.all; entity halfadder is port( x, y : in std_logic; s, c : out std_logic ); end halfadder; architecture subprogram1 of halfadder is begin s a(4), a6 => a(5), a7 => a(6), a8 => a(7), a9 => a(8), b1 => b(0), b2 => b(3), b3 => b(6), b4 => b(1), b5 => b(4), b6 => b(7), b7 => b(2), b8 => b(5), b9 => b(8), c1 => c(0), c2 => c(3), c3 => c(6), c4 => c(1), c5 => c(4), c6 => c(7), c7 => c(2), c8 => c(5), c9 => c(8)); process(clock, reset, start) begin if (reset = '1') then state

Ngày đăng: 11/12/2022, 04:35

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w