HO CHI MINH CITY UNIVERSITY OF TECHNOLOGY FACULTY OF ELECTRICAL ELECTRONICS ENGINEERING -o0o - HOMEWORK REPORT COURSE: Microprocessor(Lab) CLASS: TT02 INSTRUCTOR: Dr Nguyễn Trung Hiếu Nguyễn Huy Khang HO CHI MINH CITY, FEBRUARY 2022 download by : skknchat@gmail.com Code: library ieee; use ieee.std_logic_1164.all; entity halfadder is port( x, y : in std_logic; s, c : out std_logic ); end halfadder; architecture subprogram1 of halfadder is begin s a(4), a6 => a(5), a7 => a(6), a8 => a(7), download by : skknchat@gmail.com a9 => a(8), b1 => b(0), b2 => b(3), b3 => b(6), b4 => b(1), b5 => b(4), b6 => b(7), b7 => b(2), b8 => b(5), b9 => b(8), c1 => c(0), c2 => c(3), c3 => c(6), c4 => c(1), download by : skknchat@gmail.com c5 => c(4), c6 => c(7), c7 => c(2), c8 => c(5), c9 => c(8)); process(clock, reset, start) begin if (reset = '1') then state