ĐỒ án môn học THIẾT kế MẠCH TÍCH hợp số thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 và phần mềm hỗ trợ altium designer

83 7 0
ĐỒ án môn học THIẾT kế MẠCH TÍCH hợp số thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 và phần mềm hỗ trợ altium designer

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐẠI HỌC KỸ THUẬT CÔNG NGHIỆP KHOA ĐIỆN TỬ BỘ MÔN KỸ THUẬT ĐIỆN TỬ ĐỒ ÁN MÔN HỌC THIẾT KẾ MẠCH TÍCH HỢP SỐ Sinh viên : Nguyễn Thị Uyên Lớp : K53KDT01 Giáo viên hướng dẫn : T.S Nguyễn Phương Huy Thái Nguyên – 2021 ĐIỆN TỬ PHIẾU GIAO ĐỀ TÀI ĐỒ ÁN MÔN HỌC THIẾT KẾ MẠCH TÍCH HỢP SỐ Sinh viên: Lớp: 53KTDT Ngành : Kỹ thuật điện tử Ngày giao đề : 28/01/2021 Ngày hoàn thành: 20/05/2021 Tên đề tài Thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 phần mềm hỗ trợ Altium Designer Yêu cầu bước thực 1- Giới thiệu phân tích u cầu tốn thiết kế 2- Trình bày tổng quan cơng nghệ FPGA cách thức sử dụng công cụ phần cứng phần mềm để thiết kế ứng dụng tích hợp số KIT FPGA 3- Mơ tả cụ thể trình tự bước thiết kế, kết minh họa 4- Kết luận hướng phát triển GIÁO VIÊN HƯỚNG TRƯỞNG BỘ MÔN (Ký ghi rõ họ tên) DẪN (Ký ghi rõ họ tên) NHẬN XÉT CỦA GIÁO VIÊN HƯỚNG DẪN Thái Nguyên, ngày….tháng… năm 2021 GIÁO VIÊN HƯỚNG DẪN (Ký ghi rõ họ tên) NHẬN XÉT CỦA GIÁO VIÊN CHẤM Thái Nguyên, ngày….tháng… năm 2021 GIÁO VIÊN CHẤM (Ký ghi rõ họ tên) MỤC LỤC MỤC LỤC DANH MỤC CÁC HÌNH ẢNH DANH MỤC BẢNG BIỂU DANH MỤC CÁC TỪ VIẾT TẮT LỜI MỞ ĐẦU CHƯƠNG TỔNG QUAN BÀI TOÁN THIẾT KẾ MÁY P 1.1 1.1.1 1.1.2 1.1.3 1.2 1.2.1 1.2.2 1.3 1.3.1 1.3.2 1.4 1.5 Giới thiệu chương Tổng quan về âm số Âm số theo định dạng Mp3 wav Bài toán thiết kế máy phát nhạc số định dạng mp3 Sơ đồ khối hệ thống Chức khối Lưu đồ giải mã Phân tích số giải pháp thiết kế Xây dựng hệ nhúng sử dụng vi điều khiển cứng Xây dựng hệ nhúng sử dụng vi điều khiển mềm Lựa chọn phương án thiết kế Kết luận chương CHƯƠNG THIẾT KẾ HỆ THỐNG NHÚNG SỬ DỤNG K NB2DSK01 VÀ PHẦN MỀM ALTIUM DESIGNER 2.1 2.2 2.2.1 2.2.2 2.3 Giới thiệu chương Tổng quan FPGA VHDL Tổng quan FPGA Tổng quan VHDL Giới thiệu KIT phát triển NB2DSK01 phần mềm Altium 17 2.3.1 KIT Phát triển NB2DSK01 2.3.2 Phần mềm Altium Designer 2.4 Quy trình thiết kế hệ nhúng sử dụng KIT phát triển NB2DSK mềm Altium Designer i 2.4.1 2.4.2 2.5 Các bước thực 22 Ví dụ minh họa 22 Kết luận chương 26 CHƯƠNG ỨNG DỤNG THỰC HIỆN BÀI TOÁN MÁY PHÁT NHẠC SỐ SỬ DỤNG ALTIUM DESIGNER VÀ KIT NB2DSK01 27 3.1 3.2 3.2.1 3.2.2 3.2.3 3.2.4 3.3 3.4 Đặt vấn đề 27 Các bước thực 27 Tạo dựng Project FPGA 27 Xây dựng kiến trúc theo OpenBus 28 Xây dựng mạch nguyên lí 34 Xây dựng hệ nhúng 35 Một số kết đạt dược 38 Kết luận chương 40 KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 41 Kết luận chung 41 Hướng phát triển 41 TÀI LIỆU THAM KHẢO 42 PHỤ LỤC A THIẾT KẾ MẠCH LOGIC TỔ HỢP 43 A.1 Mô tả toán 43 A.2 Các bước thực 43 A.3 Đoạn mã VHDL 51 PHỤ LỤC B THIẾT KẾ MẠCH LOGIC TUẦN TỰ 53 B.1 Mơ tả tốn 53 B.2 Các bước thực 53 B.3 Đoạn mã VHDL 55 PHỤ LỤC C CODE MP3 DECODER 58 ii DANH MỤC CÁC HÌNH ẢNH Hình 1.1 biểu diễn tín hiệu âm dạng Analog digital Hình 1.2 Sơ đồ khối q trình mã hóa MP3 Hình 1.3 Sơ đồ trình giải mã MP3 Hình 1.4 Sơ đồ khối hệ thống Hình 1.5 Sơ đồ giải mã MP3 dựa FPGA Hình 1.6 Một số vi điều khiển cứng Hình 1.7 Quan hệ giữ cơng nghệ IC[1] Hình 2.1 Cấu trúc tổng thể FPGA 12 Hình 2.2 Khối Logic Bloc FPGA 13 Hình 2.3 Khối vào/ra XC4000X 14 Hình 2.4 Các kết nối FPGA 14 Hình 2.5 Hình ảnh NanoBoard NB2DSK01 18 Hình 2.6 Sơ đồ khối KIT NB2DSK01[4] 19 Hình 2.7 Giao diện phần mềm Altium Designer 21 Hình 2.8 Các thao tác tạo Poject FPGA 22 Hình 2.9 Thao tác tạo file OpenBus không gian làm việc OpenBus .23 Hình 2.10 Hồn thành kết nối cho OpenBus 23 Hình 2.11 Thao tác tạo file Schematic 24 Hình 2.12 Sơ đồ nguyên lý dự án “Hello word” 24 Hình 2.13 Thao tác tạo file constraint ràng buộc cho FPGA project .25 Hình 2.14 Thao tác tạo file nhúng Embeded 25 Hình 2.15 Màn hình Platfrom 25 Hình 2.16 Chương trình C 26 Hình 2.17 Màn hình sau nạp kit thành công 26 Hình 3.1 Hồn thành OpenBus cho dự án MP3 FPGA 34 Hình 3.2 Sơ đồ nguyên lý tổng thể hệ thống 35 iii Hình 3.3 Thao tác tạo dự án nhúng kéo thả liên kết phần nhúng MCU 36 Hình 3.4 Hệ thống software Platform 37 Hình 3.5 Hình ảnh KIT nạp chương trình 39 Hình 3.6 Tên hát hiển thị lên hình 39 Hình 0.1 Các thao tác để add file chương trình VHDL 43 Hình 0.2 Các thao tác add file VHDL 44 Hình 0.3 Thao tác viết chương trình VHDL altium designer .44 Hình 0.4 Thao tác biên dịch kiểm tra chương trình xem có lỗi hay khơng .45 Hình 0.5 Thao tác tạo file nguyên lý cho toán thiết kế 45 Hình 0.6 Giao diện Project mạch nguyên lý FPGA Altium Designer .46 Hình 0.7 Thao tác tạo symbol từ file VHDL 46 Hình 0.8 Thao tác lấy dipswitch đặt tên cho dipswitch 47 Hình 0.9 Sơ đồ nguyên lý vỏ thiết bị ngoại vi hệ thống điều khiển nhiệt độ 47 Hình 0.10 Chọn kit thực 48 Hình 0.11 Thao tác chọn project nạp code 48 Hình 0.12 Hình ảnh nạp thành cơng chương trình 49 Hình 0.13 KIT chưa nạp code 50 Hình 0.14 KIT nạp code 50 Hình 0.15 Kết thực KIT 50 Hình B Thao tác lấy nút bấm Led 54 Hình B Thao tác lấy chia tần 55 Hình B Sơ đồ nguyên lý hoàn chỉnh T_FF 55 iv DANH MỤC BẢNG BIỂU Bảng 3.1 Các thành phần OpenBus 26 v DANH MỤC CÁC TỪ VIẾT TẮT STT TỪ TẮT 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 VIẾT PHỤ LỤC B THIẾT KẾ MẠCH LOGIC TUẦN TỰ B.1 Mơ tả tốn Thiết kế mạch tích hợp số thực chức T-FF đầy đủ chân T, Q, Qnot, CLK sườn dương, CLR PR tích cực mức cao cơng nghệ FPGA B.2 Các bước thực Đối với toán thiết kế mạch logic tuần tự, ta thực bước tương tự toán thiết kế mạch logic tổ hợp để thu kết cuối Bước 1: Tạo dự án FPGA - Đầu tiên, mở Altium, để tạo Project ta vào File → New → Project Chọn FPGA project→ đặt tên cho Project, chọn vị trí lưu → nhấn OK Bước 2: Tạo File VHDL - - Nếu chưa có file sẵn thư mục, ta tạo file VHDL Với cách cách kích chuột phải vào dự án FPGA vừa tạo → add new to project → VHDL document Nếu có sẵn file bạn việc thêm file vào dự án cách kích chuột phải vào dự án FPGA vừa tạo → add Exiting to project →đi đến thư mục bạn lưu file ấn chọn Bước 3: Tạo đoạn mã VHDL Gõ đoạn mã chương trình sau vào khơng gian làm việc file VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; -thuc the entity T_FF is Port ( T, CLK, CLR,PR : in STD_LOGIC; Q, Qnot : out STD_LOGIC); end T_FF; kien truc -architecture BHV of T_FF is begin process (T, CLK, CLR, PR) VARIABLE TEMP:STD_LOGIC:='0'; begin if (CLR = '1') then TEMP := '0'; elsif( PR = '1') then TEMP := '1'; elsif (rising_edge(CLK)) then if(T ='1') then 53 TEMP := not TEMP; end if; end if; Q Create Sheet Symbol From Sheet HDL Chọn file HDL lưu trước ta thu Symbol đồng thời ta lấy Deepswit LED thư viện Altium Sửa tên DW, LED nối với chân symbol Từ thư viện FPGA NB2DSK01 Port-Plugin.InLib, lấy nút bấm Led Hình B Thao tác lấy nút bấm Led - Từ thư viện FPGA Generic.InLib, lấy chia tần CDIV256 54 Hình B Thao tác lấy chia tần Hình B Sơ đồ nguyên lý hoàn chỉnh T_FF - Lưu file Schematic lại chuột phải vào tên file Schematic ấn compile Sau lưu project chuột phải vào project ấn compile Bước 6: Nạp KIT B.3 Đoạn mã VHDL CT chinh T_FF khai bao thu vien - 55 library IEEE; use IEEE.STD_LOGIC_1164.ALL; -thuc the entity T_FF is Port ( T, CLK, CLR,PR : in STD_LOGIC; Q, Qnot : out STD_LOGIC); end T_FF; kien truc -architecture BHV of T_FF is begin process (T, CLK, CLR, PR) VARIABLE TEMP:STD_LOGIC:='0'; begin if (CLR = '1') then TEMP := '0'; elsif( PR = '1') then TEMP := '1'; elsif (rising_edge(CLK)) then if(T ='1') then TEMP := not TEMP; end if; end if; QPR, CLR => CLR, T=>T, Q=>Q, QNOT => Qnot ); process begin for i in test_data'range loop CLR d_name); if (stat(path_to_file, &buf) == 0) { if (S_ISDIR(buf.st_mode)) { if (strcmp(dirent->d_name, ".") == 0) { /* skip */ } else { listbox_add(&form1_dirs, dirent->d_name, NULL); } } else if (S_ISREG(buf.st_mode)) { listbox_add(&form1_files, dirent->d_name, NULL); } else { printf("[UNK]\t%s\n", dirent->d_name); } } else { FAILED(); } } if (closedir(dir) != 0) 66 { } } FAILED(); } 67 ... KIT phát triển NB2DSK01 phần mềm Altium 17 2.3.1 KIT Phát triển NB2DSK01 2.3.2 Phần mềm Altium Designer 2.4 Quy trình thiết kế hệ nhúng sử dụng KIT phát triển NB2DSK mềm Altium Designer. .. có Vì FPGA phù hợp dự án SoPC ứng dụng vào tốn thiết kế máy phát nhạc Mp3 mô tả chương sau 10 CHƯƠNG THIẾT KẾ HỆ THỐNG NHÚNG SỬ DỤNG KIT PHÁT TRIỂN NB2DSK01 VÀ PHẦN MỀM ALTIUM DESIGNER 2.1 Giới... 20 Hình 2.14 Giao diện phần mềm Altium Designer Phần mềm thiết kế mạch tự động Altium Designer môi trường thiết kế điện tử đồng nhất, tích hợp thiết kế nguyên lý, thiết kê mạch in PCB, lập trình

Ngày đăng: 10/12/2022, 07:09

Tài liệu cùng người dùng

Tài liệu liên quan