1. Trang chủ
  2. » Giáo Dục - Đào Tạo

BÁO CÁO THÍ NGHIỆM KĨ THUẬT SỐ

43 11 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

ĐẠI HỌC QUỐC GIA TPHCM TRƯỜNG ĐẠI HỌC BÁCH KHOA  KHOA ĐIỆN - ĐIỆN TỬ BÁO CÁO THÍ NGHIỆM KĨ THUẬT SỐ GVHD: Trịnh Vũ Đăng Nguyên Nhóm Thành viên nhóm:Trần Văn Vương Linh 2011538 BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN BÀI THÍ NGHIỆM CÁC IC CHỨC NĂNG CƠ BẢN MỤC TIÊU  Nắm cách sử dụng kit thí nghiệm, phần mềm lập trình  Nắm cách khảo sát thiết kế hàm boolean sử dụng IC chức  Nắm quy trình mơ tả phần cứng FPGA CHUẨN BỊ  Đọc phần phụ lục Thí nghiệm Bài thí nghiệm tài liệu hướng dẫn phần Thí nghiệm kit DE2 PHẦN LÀM TRÊN DIGIB THÍ NGHIỆM Mục tiêu: Nắm hoạt động IC chức 74LS138, 74LS148, 74LS151, 74LS47, 74LS85, 74LS283 Yêu cầu: Sinh viên ghi lại bảng chân trị IC chức học lí thuyết kiểm chứng lại thực tế hoạt động IC Lưu ý: Khi khảo sát, ý mức tích cực chân ngõ ngõ vào Input Output EN 𝑺𝟐 𝑺𝟏 𝑺𝟎 Y Y x x x 0 0 𝐷0 D0 0 𝐷1 D1 0 𝐷2 D2 0 1 𝐷3 D3 0 𝐷4 D4 1 𝐷5 D5 0 Bộ Môn Điện Tử 𝐷6 D6 Thuật Số 𝐷7 Nghiệm Kỹ Thí D7 Hướng dẫn: Ví dụ khảo sát 74LS151 74LS151 có bảng chân trị Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Để khảo sát hoạt động IC board CLF, nối ngõ vào IC với SW board DI, nối ngõ với đèn LED board DO Sinh viên tiến hành khảo sát theo nguyên tắc: - Mức ngõ vào – SW gạt lên, mức ngõ vào – SW gạt xuống - LED sáng – ngõ mức 1, LED tắt – ngõ mức Với EN = 1, kiểm tra ngõ có phụ thuộc vào giá trị ngõ vào 𝐷𝑖 hay không Với EN = 0, kiểm tra phụ thuộc ngõ vào giá trị ngõ vào 𝐷𝑖 SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS138 Decoder to Hình 1: Sơ đồ chân 74LS138 Bảng 1: Bảng chân trị 74LS138 Lưu ý: Trên board CLF: Chân E3 G1, chân G2A G2B Chân A0 tới A2 chân A, B, C Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Ngõ tới tới SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS148 Encoder Priority to Bảng 2: Bảng hoạt động 74LS148 Hình 2: Sơ đồ chân 74LS148 Lưu ý: Trên board CLF: Ngõ vào tới Ngõ tới tới tới Các chân lại giống kit SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS151 Multiplexer to Bảng 3: Bảng hoạt động 74LS151 Hình 3: Sơ đồ chân 74LS151 Lưu ý: Trên board CLF: Ngõ vào tới Ngõ vào , tới C, B A Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Ngõ Z Y Các chân lại giống kit SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS47 BCD to 7-Segment Decoder Hình 4.1: Sơ đồ chân 74LS47 Hình 4.2: Sơ đồ nối chân hoạt động của 74LS47 (nguồn: Internet) Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Bảng 4: Bảng chân trị 74LS47 Lưu ý: Trên board CLF, chân vẽ giống với hình bảng chân trị SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS85 bit comparator Hình 5: Sơ đồ chân 74LS85 Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Bảng 5: Bảng chân trị 74LS85 Lưu ý: Trên board CLF, chân vẽ giống với hình bảng chân trị SƠ ĐỒ CHÂN VÀ BẢNG CHÂN TRỊ CỦA IC 74LS283 bit Binary Adder with Fast Carry Hình 6: Sơ đồ chân 74LS283 Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Bảng 6: Bảng chân trị 74LS283 Lưu ý 1: Trên board CLF: Ngõ vào C0 Ci, ngõ C4 Co, ngõ tới tới Các chân lại giống kit Lưu ý 2: Cờ C2 bảng chân trị dùng xét cặp bit ngõ vào: - Khi ngõ vào A1, B1, A2, B2 cờ nhớ Co kết , cờ nhớ C2 - Khi ngõ vào A3, B3, A4, B4 cờ nhớ C2 kết , cờ nhớ C4 Kiểm tra:  Sinh viên tiến hành khảo sát hết cổng có board CLF điền kết vào Bảng Bảng 1: Kết khảo sát cổng board CLF Chứ c Mã IC Mô tả HĐ (Đ/S) Bộ giả i mã sang ngõ tích cự c thấ p Mã hó a ưu tiên sang 74LS138 Đú ng 74LS148 Đú ng Chọ n ngõ ngõ o Giả i mã BCD sang LED đoạ n So sá nh 74LS151 Đú ng 74LS47 Đú ng 74LS85 Đú ng Bộ cộ ng nhị phâ n song 74LS283 Đú ng Bộ Môn Điện Tử Thí Nghiệm Kỹ Thuật Số Ghi Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN song THÍ NGHIỆM Mục tiêu: Nắm cách thức sử dụng IC chức để thực hàm boolean Yêu cầu: Sinh viên thực thiết kế hàm boolean 𝑓(𝑥, 𝑦, 𝑧) = ∑(2,3,5,7) sử dụng IC chức 74LS151 Kiểm tra:  Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean cho Hình  Sinh viên tiến hành lắp mạch khảo sát hoạt động hàm, ghi kết Bảng Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN Bảng 2: Kết khảo sát hoạt động hàm boolean x y z f fTest 0 0 0 0 1 1 1 1 0 0 1 1 1 0 1 1 Hình 2: Mạch mơ tả hàm boolean Bộ Mơn Điện Tử Thí Nghiệm Kỹ Thuật Số Page | BÀI THÍ NGHIỆM – CÁC IC CHỨC NĂNG CƠ BẢN  Chương trình mơ tả hoạt động thiết kế library ieee; use ieee.std_logic_1164.all; entity tn4c2 is port (x,y,z,e1,e2a,e2b: in std_logic; f : out std_logic ); end tn4c2; architecture behavior of tn4c2 is signal s: std_logic_vector (7 downto 0); signal data: std_logic_vector (2 downto 0); signal en: std_logic; begin data

Ngày đăng: 08/12/2022, 04:52

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w