1. Trang chủ
  2. » Luận Văn - Báo Cáo

Báo cáo thí nghiệm kĩ thuật số phần VHDL

22 27 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Báo cáo Thí Nghiệm Kĩ Thuật Số phần VHDL Giáo Viên Hướng Dẫn: Đoàn Ngọc Cẩm Danh Sách Thành Viên Trong Nhóm Tạ Ngọc Hoàng Vũ 1814821 Nguyễn Minh Vinh 1814786 Phạm Đồng Gia Vỹ 1814864 Nguyễn Hoàng Anh vũ 1814814  2019-2020 1 Bài Thí Nghiệm 1  Mục Tiêu: Sinh viên cần tìm hiểu về kit DE2, cách sử dụng công cụ Quartus, và cách cài đặt chương trình trên kit Altera DE2 FPGA dung Programer I. Thí Nghiệm 1.1-Tutorial Sinh viên đọc phần III của bài thí nghiệm 0 và thực hiện chương trình Test 01 theo từng bước một đã được đưa ra. II. Thí nghiệm 1.2 1. Yêu cầu: Thực hiện mô phỏng các cổng logic. 2. Thiết kế: a. Sơ đồ khối(Block Diagram)

Đại Học Quốc Gia Đại Học Bách Khoa Thành Phố Hồ Chí Minh Khoa Điên-Điện Tử Báo cáo Thí Nghiệm Kĩ Thuật Số phần VHDL Giáo Viên Hướng Dẫn: Đoàn Ngọc Cẩm Danh Sách Thành Viên Trong Nhóm Tạ Ngọc Hoàng Vũ Nguyễn Minh Vinh Phạm Đồng Gia Vỹ Nguyễn Hoàng Anh vũ 1814821 1814786 1814864 1814814  2019-2020 Bài Thí Nghiệm  Mục Tiêu: Sinh viên cần tìm hiểu kit DE2, cách sử dụng cơng cụ Quartus, cách cài đặt chương trình kit Altera DE2 FPGA dung Programer I Thí Nghiệm 1.1-Tutorial Sinh viên đọc phần III thí nghiệm thực chương trình Test 01 theo bước đưa II Thí nghiệm 1.2 Yêu cầu: Thực mô cổng logic Thiết kế: a Sơ đồ khối(Block Diagram): b Sơ đồ mạch Logic(Logic Diagrams): c Bảng chân trị(Truth Table): inputs a_in b_in 0 1 1 not_op (a_in) 1 0 outputs And_op Nand_op Or_op 0 1 1 0 1 Nor_op 0 Xor_op Xnor_op 1 0 d VHDL Code: e Kết mô ModelSim/VWF(Results): f RTL Viewer: Bài Thí Nghiệm Muc Tiêu: Nắm phương pháp thiết kế mạch tổ hợp ngôn ngữ VHDL thực kit FPGA I Thí nghiệm 2.1-Thiết kế cộng số bit Yêu cầu: Thiết kế cộng số bit sử dụng cộng toàn phần(Full Adder) Thiết kế: a Sơ đồ khối(Block Diagram): b VHDl Code: c Kết qua mô ModelSim/VWF(Results): d RTL Viewer II Lab 2.2-Thiết kế ALU 4-bit Yêu cầu: THiết kế ALU thực hàm tính tốn số học logic đơn giản Mã điều khiển mô tả phép tốn tương ứng với mã trình bày bảng Bộ ALU 4-bit ncos ngõ vào sau:  A,B: 4-bit input  Cin: 1-bit input  Output: 4-bit output  Cout: 1-bit output  Control:3-bit control input Bảng Các ngõ vào ngõ ALU Singnal type Signal name Assigned port Comment Inputs A SW[3…0] B SW[7…4] Cin SW[8 Control SW[17…15] ALU_out HEX[0] Cout LEDG[0] 4-bit input to be displayed on HEX6 4-bit input to be displayed on HEX4 1-bit input to be displayed on LEDR[0] 2-bit input to be displayed on LEDR[17…16] 4-bit output to be displayed on HEX0 1-bit output to be displayed on LEDG[0] Outputs Bảng Tập lệnh ALU Control 000 Instruction ADD 001 Sub 010 011 100 Or And Shl 101 Shr 110 Rol 111 Ror Operation Output

Ngày đăng: 11/12/2021, 11:08

Xem thêm:

TỪ KHÓA LIÊN QUAN

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w