1. Trang chủ
  2. » Giáo án - Bài giảng

challenges of tailoring surface chemistry and plasma surface interactions to advance atomic layer etching

7 1 0

Đang tải... (xem toàn văn)

THÔNG TIN TÀI LIỆU

N5054 ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) JSS FOCUS ISSUE ON ATOMIC LAYER ETCHING AND CLEANING Challenges of Tailoring Surface Chemistry and Plasma/Surface Interactions to Advance Atomic Layer Etching S U Engelmann,a,z R L Bruce,a M Nakamura,b D Metzler,a,c S G Walton,d and E A Josepha a IBM, T J Watson Research Center, Yorktown Heights, New York 10598, b ZEON Chemicals L.P., Louisville, Kentucky 40211, USA c University of Maryland, College Park, Maryland 20742-2115, USA d Plasma USA Physics Division, Naval Research Laboratory, Washington, DC 20375-5320, USA The ability to achieve atomic layer etch precision is reviewed in detail for a variety of material sets and implementation methods For a cyclic approach most similar to a reverse ALD scheme, the process window to achieve a truly self-limited atomic layer etch (ALE) process is identified and the limitations as a function of controlling the adsorption step, the irradiation energy, and the reaction process are examined Alternative approaches, namely processes to enable pseudo-ALE precision, are then introduced and results from their application investigated Most of the recent work in plasma process development can be characterized by three fundamental approaches to atomic layer etching Lastly, recent developments employing reactant flux control are briefly introduced, which have shown to provide a self-limited process that is able to exhibit high selectivity and pattern fidelity The key feature of this novel method may be the ability to combine advances from the other atomic layer etch approaches © The Author(s) 2015 Published by ECS This is an open access article distributed under the terms of the Creative Commons Attribution Non-Commercial No Derivatives 4.0 License (CC BY-NC-ND, http://creativecommons.org/licenses/by-nc-nd/4.0/), which permits non-commercial reuse, distribution, and reproduction in any medium, provided the original work is not changed in any way and is properly cited For permission for commercial reuse, please email: oa@electrochem.org [DOI: 10.1149/2.0101506jss] All rights reserved Manuscript submitted December 22, 2014; revised manuscript received March 2, 2015 Published April 1, 2015 This paper is part of the JSS Focus Issue on Atomic Layer Etching and Cleaning Over the course of the past few years, the semiconductor industry has continued to invent and innovate profoundly to adhere to Moore’s Law1 and Dennard scaling.2 From the introduction of new materials to the transition to non-planar FinFET devices, each new generation of technology bears considerable challenges for device fabrication Looking at the 10 nm technology node and beyond, this issue is compounded by the fact that scaling places inadvertent demands on etch processes, requiring control in single nanometer scale dimensions This ever continuing trend to shrink device sizes coupled with the advent of novel materials, multi-component materials or even nanoscale materials, is driving the need for the ultimate etch solution: etching with atomic layer precision Feasibility for atomic layer etching (ALE) has been proposed and demonstrated on a lab scale for some time Dating back to the early 1990s, researchers first recognized the ability to control etch processes down to the atomic scale for III-V materials Meguro et al3 used alternating cycles of a pulsed chemical etchant (Cl2 ) and an energetic electron beam to demonstrate ‘digital etching’ of GaAs substrates With this approach, self-limiting etch rates of as little as ∼1 Å/cycle (equivalent to 1/3 of a monolayer per cycle) were reported.3 In a different approach (derived from molecular beam epitaxy), Tsang et al also showed sub-monolayer precision GaAs removal by injecting AsCl3 directly onto a heated sample surface in a chemical beam epitaxy chamber.4 This approach demonstrated not only the ability to control a flux driven process down to the Angstrom level, but also the ability to modify interface properties and/or change surface morphology For Si-based etch systems, Matsuura demonstrated feasibility for ALE using a 4-step process including alternating cycles of chlorine (gas only), followed by exposure to an Ar+ ion beam with an ion energy chosen to ensure self-limiting mono-layer removal.5 Similarly, Sakaue et al also demonstrated ALE capability for silicon, however their approach utilized alternating cycles of a fluorine containing downstream plasma (CF4 /O2 , NF3 /N2 or F2 /He) at cryogenically cooled substrate temperatures followed by Ar+ ion irradiation.6 While each of these approaches demonstrates merit and significance for atomic layer etching, the adoption of ALE had not garnered z E-mail: suengelm@us.ibm.com significant interest until now In the recent past, the focus of plasma etch equipment has been on the ability to minimize damage and/or increase etch pattern fidelity by means of either tailoring ion energy distributions or reducing the average electron temperature of the plasma For example, early work by Sobolewski7 and Wendt8 demonstrated the ability to modify ion energy distribution functions via plasma frequency or pulsed DC bias modulation to enable ion extraction with either narrow or broad energy distributions This work was further expanded upon with the exploration of multi-frequency drive electrodes,9,10 the inclusion of DC superposition [DCS]11,12 and/or more elaborate plasma pulsing combinations of both source and/or bias powers to reduce ion energy and/or enable charge balance.13–15 Novel plasma sources which produce a more self-confined, high density plasma with significantly reduced electron temperature at the wafer surface have also been evaluated.16–18 Furthermore, these recent concepts have been successfully commercialized and shown to reduce plasma damage and/or improve pattern fidelity.19–22 Ironically, it is these same hardware enhancements that have set the stage to enable processing capability for atomic scale precision Plasma pulsing, low electron temperature plasmas, pulsed gas flows etc., which are now incorporated into state of the art plasma processing toolsets are envisioned to be key advances to potentially enable ALE in a large scale production setting However, a unified methodology and/or system to achieve high volume manufacturing with atomic scale precision has yet to be defined and/or developed for a variety of Si-based material systems (Si, SiO2 , Si3 N4 , SiCOH) In this paper, we will review the main methods under consideration to achieve self-limiting atomic scale etching precision and focus on the role that chemistry, both surface chemistry and gas phase chemistry, will play We found four differing approaches to atomic layer etch, which are reviewed in detail Approach to Atomic Layer Precision In order to achieve atomic scale sensitivity, the essential requirement is self-limitation However, the method to achieve that selflimited behavior can vary and a number of approaches have been proposed and even demonstrated, as mentioned above The first and foremost method under consideration for implementation of ALE is Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract) ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) N5055 Fig Schematic of the cyclic four ALE process elements Depicted species are: substrate atom (blue), adsorbent species (white), reactive species (red “R”) and inert atom (purple) that of a cyclical process, analogue to that of atomic layer deposition, which provides atomic scale precision based on surface-limited reactions Described in detail for a chlorine-based etch system by Economou23–25 and more recently by Kanarik,26,27 this process has four separate reoccurring process steps These are: (a) reactant adsorption, (b) excess reactant evacuation, (c) energy-induced surface reactions, and (d) reaction product evacuation The multi-step process is illustrated in Fig Here, steps (b) and (d) are rate limiting ‘purge’ steps, as they are dependent on the residence time of the chamber, while steps (a) and (c) are the key surface-limited steps For the adsorption step (a), the adsorbed species (white) is expected to form a monolayer on the to-be-etched substrate (blue), after which no further adsorption occurs After evacuating the excess adsorbent species (Fig 1b), the critical etch step is depicted in Fig 1c While the approach varies in detail, in general, species ‘R’ (this could be ions,3,28 energetic neutrals44,46 or others4,29 ) interact with the adsorbed monolayer and the top layer of the substrate to form volatile species Neutral species not participating in the reaction (purple) may be present in case of plasma exposure The cycle is then completed by purging the system of all species (Fig 1d) In case of the reaction step (c), the process is expected to be chosen such that the reaction only occurs when an adsorbed species is present at the surface This ideal behavior is illustrated in Figure in which both the adsorption step (Fig 2a) and the reaction step (Fig 2b) are designed to be self-limited at a maximum rate equivalent to monolayer (ML) per cycle The key thing to note here is that this self-limiting nature enables one to Fig Illustration of ideal ALE process showing etch rate per cycle vs the (a) adsorption step time and (b) reaction step time overcome local variations of deposition/etch rates caused by chamber geometry, power distribution, flow distribution and others, yielding enhanced uniformity across feature size and across the wafer Challenge for implementation.— While the ideal ALE process has been demonstrated and shown to greatly reduce iso-dense loading for silicon etch,6 there are a number of challenges that must be addressed to enable ALE for a multitude of material systems The greatest challenge associated with this cyclical, reaction-limited ALE approach is the ability to control the adsorption and reaction portions of the process Typically, a uniform adsorption layer is formed on all materials present on the substrate The reaction step is then tailored such that the energy input is above the threshold energy for reaction on a target material but below the threshold energy that would be needed to etch any other material If we are to illustrate the etch rate of an ideal ALE process as a function of reaction step irradiation energy, we would obtain a process window for self-limitation as depicted in Figure Here the ALE process window is bound by the lower energy E1 , which is the energy threshold required to initiate reaction of the adsorbent with the target substrate material, and upper energy E2 , which indicates the threshold energy for initiating reaction with any other material present on the substrate (e.g hardmask) In this regime, etch rates on the order of monolayer(s) per cycle should be observed up to an energy of E2 Outside of this process window, four different Fig Illustration of the ALE window along with four zones of non-ideal layer-by-layer etch behavior Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract) N5056 ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) Fig Schematic pictures of etch selectivity mechanism and possible challenges for two material systems with (a) selective adsorption and (b) selective etch reaction Depicted species are: substrate atom A (blue), more etch resistant substrate atom B (green), adsorbent species (white), reactive species (red “R”) and damaged substrate atom A (orange) ‘zones’, dependent on irradiation energy and adsorbent flux, illustrate the causes for loss of self-limiting behavior The first two zones considered are above energy E2 , but below the energy needed to initiate substrate sputtering Es The first zone (Zone 1) occurs in a region in which both the adsorption and the irradiation energy are greater than desired and the self-limiting nature of the process is lost This is because enough radical adsorption and irradiation energy are present to react with and volatilize all materials on the substrate surface, losing selectivity to any mask material or stop layer(s) In certain cases, there may even be enough physical and chemical species with sufficient energy and selectivity present to impact the substrate material to a greater depth and remove multiple monolayers of material within any given cycle In the lower zone (Zone 2), there remains a selflimited amount of adsorption but an excess amount of energy, such that modification to the adsorption layer is possible This could then result in either the removal of the adsorbed material (without reacting with the substrate) or modification of the adsorption layer composition, which could impact and/or compromise the ability to provide the stoichiometric removal of the target material The experimental validation and verification of such behavior may be challenging On the other side of the spectrum at low irradiation energy, we have two additional zones above E0 , the minimum energy possible in the system One case (Zone 3) occurs when the irradiation energy is below the threshold for reaction, but the adsorption remains selflimited In this zone, irradiated species are unable to remove the adsorbed monolayer (ML) Thus, if we were to continue the cyclic process, we could wind up in a regime in which net deposition occurs over multiple cycles, possibly resulting in a thick polymer coating Finally, in the last region (Zone 4), the irradiation energy remains below the threshold for reaction, but the adsorption is no longer selflimited In this case, the adsorption layer chemistry can change in a manner that was not tuned correctly for a given material and the system can shift into a process space in which spontaneous chemical etching of either the adsorbed layer, or any of the substrate materials commences To complicate matters, the ability to tailor the energy to the level of precision required for single ML reaction control is not straightforward, especially for the variety of material systems commonly used As an example, conventional ICP plasmas may have an intrinsic plasma potential that produces an ion energy which is greater than the threshold for self-limitation, E2 , for some materials Thus, regardless of other controls, the process window is exceeded and either selectivity loss or surface modification can immediately occur In this regard, alternative plasma sources capable of producing low ion energies are attractive Electron bean generated plasmas, for example, have an intrinsically low electron temperature (0.3 eV< Te < eV) and so ion energies at adjacent surfaces are also very low.30 Alternatively, other factors such as UV and VUV photons that drive photo-assisted processes may also provide uncontrolled energy into the reaction, causing sub-threshold etch behavior and/or substrate damage, compromising the ability to achieve self-limited ALE.31–34 Adsorption process control.— For the adsorption step, the ideal process would include not only self-limiting adsorption but also selectivity That is, the adsorbed layer would only form on the target material This is illustrated in Figure 4a, where the reactant would only deposit on Material A, while it did not deposit on Material B Thus, in the follow-on reaction step the etch proceeds only where the adsorption occurred, selectively removing material A Concomitantly, if one were to envision the other side of the process regime, adsorption could be tailored such that it occurs with one specific stoichiometry on target material A, while having a different (more reaction resistant) stoichiometry on any other material present on the substrate surface This is illustrated in Figure 4b With this in mind, we recognize that a significant effort should be put into chemistry development for achieving such selective deposition If one could tailor the process conditions such that dissociation pathways with specifically designed deposition precursors are obtained, then selective volatilization may become feasible In particular, the impact of different plasma source types and configurations on plasma dissociation will be of great importance for further advancement For conventional discharges, electron-impact driven species generation favors those species with the lowest threshold and so not only will radical formation be high, it may be difficult to control relative radical densities.35 However, alternative approaches to plasma generation, such as electron beam generated plasmas, could offer additional paths for maintaining precursor molecule chemistry, where in depth characterization of the dissociation and radical generation pathways have the potential to produce significantly different chemistry as compared to more standard discharge plasma sources used today.36 If the dissociation pathways of the precursor molecule are understood well, reverse engineering of etch mechanisms based on deposition chemistry37 seems feasible even under plasma conditions A wider process range in terms of process temperature and throughput may be expected for the plasma enhanced ALE, similar to Atomic Layer deposition,38 and its plasma-based counterpart PE-ALD.39 Reaction process control.— Regardless of energy, reactive speciesinduced damage is another issue in itself because there are multiple pathways to which it can be created In one instance, damage could occur from the interaction of the reacted species with surface sites that have already been removed (in a given cycle) Illustrated in Figure 4b, a reacted species complex may inadvertently re-react with exposed substrate material (in an area which the topmost layer has already been volatilized, shown as orange) during evacuation As an example for a silicon etch system, Athavale and Economou24 completed molecular dynamics simulations of a 4-step ALE Cl2 -based process and discovered that the most prominent volatilized reaction products removed from the substrate surface were unsaturated silicon chloride species; SiCl and SiCl2, in order of yield This could then mean that under certain conditions, SiCl and SiCl2 liberated from the surface could undergo gas-phase collisions and/or recombination events that produce additional atomic chlorine, potentially leading to unintended reactions on the substrate surface Alternatively, SiCl and SiCl2 could Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract) ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) scavenge other Cl species at adjacent surface sites to form more stable SiCl4 , potentially leading to areas of unetched silicon This is further complicated in the case of more complex etch systems such as SiO2 and Si3 N4 , where more than one atomic species need to be volatilized from the surface to remove a monolayer of material For instance, if CF4 is used as an etch gas for SiO2 etching, a plasma-based adsorption step would most likely be employed and lead to the deposition of a thin fluorocarbon reaction layer atop the substrate Subsequently, the reaction step would then have to enable removal of both Si and O atoms by volatilization of the complex fluorocarbon layer However, since fluorocarbon layers usually take the form of CF, CF2 and/or CF3 groups,40–43 it is possible that more than one reaction layer is required to volatilize silicon and oxygen, assuming the highest yielding volatilized species are SiF4 and CO2 Recent Developments for Advancing Atomic Scale Precision Etching In addition to the approaches proposed above to enable a true selflimited atomic layer etch process, other methods are simultaneously being developed to enhance existing etch processes such that they can achieve atomic scale precision These methods include processes to enable pseudo-ALE precision by improving reaction control, energy control and/or flux control in a non-typical approach The merits and advantages of each, along with associated disadvantages are listed in Table I Most approaches to “reverse ALD” have already been reviewed earlier One key issue remains is that applications of the methodology to complimentary materials (e.g Silicon oxide, nitride etc.) of Silicon (or III-V materials) have been not demonstrated so far It appears that these systems work well for one specific set of materials, however the performance is not easily transferred to related materials or different material systems Reaction chemistry control.— Some processes with atomic layer precision are already being used in manufacturing today Typically N5057 they are wet chemistries (e.g dilute HF, BOE, KOH, etc.), for which chemical reactions are very understood and well controlled Typically they are of isotropic nature, but very well controlled Similarly, some work has shown to mirror this methodology to carefully react a material under dry etch conditions, which then, in turn, can be removed by a second step (e.g Cu/Ag/Au or SiN etching) These step processes typically yield anisotropic profiles, as one of the steps is anisotropic in nature Additionally fluoro(hydro)carbon plasmas have been used quite extensively in manufacturing for many years Much understanding to the mechanism for etching silicon oxide was discussed by Zhang,44 Standaert,41 and others.45 Typically, oxide exhibits a lower etching threshold than silicon nitride or silicon due to the thickness differences of a fluorocarbon reaction layer, leading to desired selectivites for back-end-of-line (BEOL) processing Based on these findings, selective dry etch chemistries have been further developed However, applicability to ALE is not so straightforward since the ideal ALE process regime confines the reaction layer to a thickness appropriate to achieve single monolayer removal of the substrate material As such, a slight modification of the process towards atomic layer processing is necessary Illustrated in Figure 5, standard plasma processes are operated in the ‘selective etch’ window rather than the condition of “infinite selectivity” due to the need for polymer management in the etch chamber during processing However, with a switch to a cyclic plasma process for ALE precision, the process window can be shifted to lower ion energies (see region labelled “E quasi-ALE ”) This is because excessive polymer deposition is no longer necessary, as the cyclic nature allows the “reset” of the substrate by removal of the polymer layer during steps 2–4 in the ALE cycle, and significantly reduced deposition should occur on the chamber walls, rather than depositing layer upon layer in case of the continuous plasma Energy control.— The sensitivity of ALE processes to the energy at which they interact has already been discussed earlier It is clear that low energy processes are necessary, though the path which provides the most improvement is not While the requirements for energy control will depend greatly on the material system to be etched, Table I Comparison of experimental approaches in the area of atomic layer etch ALE (reverse ALD) Method Advantage Depositing adsorption layer, controlled reaction of adsorption layer with substrate, cyclic step process; complementary technique to established ALD processes High precision, true self limitation, uniformity Reaction Chemistry Control – quasi ALE Energy Control – quasi ALE Flux-Control – quasi ALE Extremely selective etchant attacks only material A, while Material B is left unaltered; reaction chemistry is key element Carefully tailoring plasma properties to simplify plasma-surface interactions (novel plasma approaches); advanced plasma physics is key element Continuous plasma during all cyclic process steps, depositing plasma layer and reacting layer energetically at top surface Isotropic etch precision, crystallographic precision (some systems), batch process capability (wet systems), use of existing (manufacturing) toolset possible Anisotropic etch capability (some systems), process temperature limitations, wafer scale uniformity, physical wafer movement Simplified plasma-surface interactions, fast process, wide process temperature range Wide process temperature range, faster process time, ability to implement new approaches from all other ALE methods, synergy with PE-ALD learning Complex plasma physics (not well understood at this point), Wafer scale uniformity Pulsed plasma, Ion Energy Distribution Function (IEDF) tailoring, negative ion extraction, very low substrate temperature plasma processing, very low electron temperature plasma processing 7–21,64–72 Plasma damage, true self-limitation deposit not known at this point, design of precursor gas needs understanding of ALE plasma decomposition Cyclic etch of oxide by fluorocarbon/Ar plasma discharges Disadvantage Throughput, physical wafer movement (some systems), process temperature limitations Examples Si ALE by Cl2 and Ar (neutral beam or ions), InP ALE by Cl2 and neutral beam, GaAs by Br2 Highly selective wet etches (dilute HF, BOE, KOH etc.), highly selective dry etch chemistries, modified layer + selective removal systems (Cu, Ag, Au, SiN etching) References 3–6,24,25,51–56 57–63 73–75 Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract) N5058 ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) Characterization of Plasma-Surface Interactions during ALE Fig Schematic representation of deposition/etch rate vs ion kinetic energy in conventional plasma processes containing polymerizing etch gases such as fluorocarbons surface interactions for the most challenging group of materials (monolayer materials) deserves a careful look The most promising of these kinds of materials are carbon materials, namely graphene and carbon nanotubes.46 Such materials require the utmost control of plasma damage, as any excessive damage will result in the destruction of the material Some groups have reported encouraging results in the past.47 For example, low electron temperature plasmas have been previously used to functionalize graphene materials.48 Other approaches in this methodology of carefully limiting uncontrolled plasma species interactions with the substrate are the previously mentioned recent advances in reactor technology Plasma pulsing, low electron temperature discharges, cryogenic substrate processing or Ion Energy Distribution Function (IEDF) tailoring may provide means to approach atomic layer etching by limiting the interactions of ions to the atomic scale Reactant flux control.— While enhanced energy control and reaction chemistry control schemes may show promise to attain atomic scale precision, one of the most attractive approaches currently under investigation is via employing flux control In this technique, an inert plasma is maintained continuously throughout the process, below the energy threshold for etch As can be seen in Figure 6, a fluorocarbon polymer chemistry is then introduced via gas pulsing, to provide the reactant adsorption Subsequently, once the gas pulse has concluded and a time delay (>residence time of the adsorbent gas within the chamber) has passed, then bias power to the substrate is introduced to the inert chemistry plasma (here Ar), to provide enough energy to initiate reaction of the adsorbent with the substrate surface below The advantage of this approach is that instabilities and variability associated with pulsing the plasma source and the subsequent evacuation steps are reduced and/or eliminated Furthermore, this process offers maximum flexibility for the processing of multiple materials, since the inert chemistry and reactive feedgas chemistry can be tuned together to achieve the desired feedgas dissociation and radical generation for selective deposition As highlighted in the previous section, each of the various pseudoALE methods shows potential for scalability down into the regime of atomic scale precision However, what is realized is that a better understanding of plasma-surface interactions is certainly needed to truly achieve self-limited monolayer scale precision The change in chemical composition of the substrate will require quite extensive surface analysis to determine adsorption properties at different substrate temperatures and the ability to achieve true growth saturation Particle fluxes from the plasma have to be understood and managed well in order to yield true atomic layer etching The most obvious example here would be that if one yields perfect monolayer behavior at the feature bottom (which enables the etching), feature sidewall conditions will also need to be accounted for a true atomic layer etch process (i.e no excessive deposition) Furthermore, better understanding of plasma gas phase chemistry and the dissociation and fragmentation of precursor molecules will also be needed to identify proper precursor selection A look into the ALD world shows that the use of quartz crystal microbalance was instrumental in analyzing ALD systems.49 Similarly, spectroscopic ellipsometry has been applied to identify process mechanisms for ALD.50 In the realm of ALE, it will be just as important to have and utilize in-situ methods to characterize etch mechanisms The only current application of such techniques to ALE was demonstrated by Metzler et al.66 by use of in-situ single wavelength ellipsometry Detailed surface analysis techniques such as x-ray photoelectron spectroscopy, FTIR-spectroscopy (both on the substrate surface and in the gas phase of the plasma) and others will be crucial to this effort Conclusions/Outlook For some systems, true self-limited ALE processes have been demonstrated and may already be ready for evaluation and implementation on a manufacturing scale For example, etching results of silicon by Cl2 ALE look very close to the ideal scenarios depicted in Fig (compare to Ref 44) Initial results for other materials show great promise for future ALE processes, but have yet to achieve the desired self-limited atomic scale precision Very promising results have been obtained using a reactant flux limited approach.66 Current target applications appear to be applications with a high degree of aspect ratio dependence and/or overetch requirements Such possible applications could include self-aligned contact, spacer, or others The main challenge will be to identify applications that allow non-perfect ALE conditions to deliver key advantages in order to maintain research efforts to eventually yield true self-limited ALE on many more systems Acknowledgments Special thanks go to B Harrison, J.M Papalia, S Holmes, D Farmer, K Uppireddi, E Kamnang, G Tulevski, D Neumayer, A Bol, E Sikorski, P Bouvron, W Price, Y Zhang and N Fuller S.J Han, J Chang and Y Zhu are thanked for contributions to parts of this work The authors would also like to thank the MRL management for support of this work S.E furthermore specifically thanks L Lecordier and A Pyzyna for fruitful discussions and a close look into the world of ALD and PE-ALD This work was partially supported by the Naval Research Laboratory Base Program S.E., D.M and E.A.J gratefully acknowledge support by the National Science Foundation for research under award CBET-1134273 which led to part of the work described here, and also thank G S Oehrlein who serves as PI of this award References Fig Schematic picture of flux controlled ALE process based on continuous plasma exposure G E Moore, “Cramming More Components onto Integrated Circuits”, Proc IEEE 86(1) 82 (1998) reprinted from Electronics, 114 (1965) R H Dennard and F H Gaensslein, “Design of Ion-Implanted MOSFET’s with Very Small Physical Dimensions”, Proc IEEE 87(4), 668 (1999) reprinted from IEEE J Sol St Cir 9(5), 256 (1974) Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract) ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) T Meguro and M Hamagaki, “Digital etching of GaAs: New approach of dry etching to atomic ordered processing”, Appl Phys Lett 56(16), 1552 (1990) W T Tsang, T H Chiu, and R M Kapre, “Monolayer chemical beam etching: Reverse molecular beam epitaxy”, Appl Phys Lett 63, 3500 (1993) T Matsuura and J Murota, “Self-limited layer-by-layer etching of Si by alternated chlorine adsorption and Ar+ ion irradiation”, Appl Phys Lett 63(20), 2803 (1993) H Sakaue and S Iseda, “Atomic Layer Controlled Digital Etching of Silicon”, Jpn J Appl Phys 29(11), 2648 (1990) M Sobolewski, Y Wang, and A Goyette, “Measurements and modeling of ion energy distributions in high-density, radio-frequency biased CF4 discharges”, J Appl Phys 91(10), 6303 (2002) S.-B Wang and A E Wendt, “Control of ion energy distribution at substrates during plasma processing”, Journal of Applied Physics 88(2), 643 (2000) X S Li and Z H Bi, “Modulating effects of the low-frequency source on ion energy distributions in a dial frequency capacitively coupled plasma”, Appl Phys Lett 93, 031504 (2008) 10 H C Kim and J K Lee, “Dual radio-frequency discharges: Effective frequency concept and effective frequency transition”, J Vac Sci Technol A 23(4), 651 (2005) 11 T Yamaguchi and T Komuro, “Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiCOH over SiC”, J Phys D: Appl Phys 45, 025203 (2012) 12 M Honda and K Yatsuda, “Patterning Enhancement Techniques by Reactive Ion Etch”, Proc SPIE 8328, 832809 (2012) 13 O Joubert and M Darnon, “Towards new plasma technologies for 22nm gate etch processes and beyond”, Proc SPIE 8328, 83280D (2012) 14 S Banna and A Agarwal, “Inductively Coupled Pulsed Plasmas in the Presence of Synchronous Pulsed Substrate Bias for Robust, Reliable, and Fine Conductor Etching”, IEEE Transactions on Plasma Science, 37(9), 1730 (2009) 15 S Banna and A Agarwal, “Pulsed high-density plasmas for advanced dry etching processes”, J Vac Sci Technol A 30(4), 040801 (2012) 16 L Chen and Q Yang, “Properties of RLSA microwave surface wave plasma and its applications to finFET fabrication”, Proc SPIE, 8685, 86850H (2013) 17 S G Walton, E H Lock, and R F Fernsler, “Plasma modification of solid and porous polyethylene”, Plasma Proc And Poly 5, 453 (2008) 18 E H Lock, R F Fernsler, and S G Walton, “Experimental and theoretical evaluations of electron temperature in continuous electron beam generated plasmas,” Plasma Sources Sci Technol 17, 025009 (2008) 19 M Darnon and M Haass, “Characterization of silicon etching in synchronized pulsed plasma”, Proc SPIE, 8685, 86850J (2013) 20 T Goto and H Yamauchi, “High-Speed Damage-Free Contact Hole Etching Using Dual Shower Head Microwave-Excited High-Density-Plasma Equipment”, Jpn J Appl Phys 43, 1784 (2004) 21 D H Choi and D G Yang, “Tall FIN formation for FINFET devices of 20nm and beyond using multi-cycles of passivation and etch processes”, Proc SPIE, 8685, 86850D (2013) 22 M K Ahn and W J Kwon, “Etch challenges for 1xnm NAND flash”, Proc SPIE, 8328, 83280F (2012) 23 D J Economou and V M Donnelly, “Atomic layer etching with pulsed plasmas”, US 20110139748 A1, 2011 24 S Athavale and D Economou, “Molecular dynamics simulation of atomic layer etching of silicon”, J Vac Sci Technol A 13(3), 966 (1995) 25 S D Athavale and D J Economou, “Realization of atomic layer etching of silicon”, J Vac Sci Technol B 14, 3702 (1996) 26 K J Kanarik and S Tan, “Moving atomic layer etch from lab to fab”, Solid State Technology 2014 27 C G N Lee, K J Kanarik, and R A Gottscho, “The grand challenges of plasma etching: a manufacturing perspective”, J Phys D: Appl Phys 47, 273001 (2014) 28 S D Park and K S Min, “Precise Depth Control of Silicon Etching Using Chlorine Atomic Layer Etching”, Jpn J Appl Phys 44, 389 (2005) 29 J C Patrin and Y Z Li, “Atomic layer etching of GaAs (110) with Br2 studied by scanning tunneling microscopy”, Appl Phys Lett 62, 1277 (1993) 30 S G Walton and C Muratore, “Electron beam-generated plasmas for materials processing,” Surf Coat Technol., 186 (1-2), 40 (2004) 31 H Shin and W Zhu, “Surprising importance of photo-assisted etching of silicon in chlorine-containing plasmas”, J Vac Sci Technol A 30(2), 021306 (2012) 32 T Tatsumi, S Fukuda, and S Kadomura, “Radiation damage of SiO2 surface induced by vacuum ultraviolet photons of high-density plasma”, Jpn J Appl Phys., 33(4B), 2175 (1994) 33 S Samukawa and B Jinnai, “Surface Reaction Enhancement by UV irradiation during Si Etching Process with Chlorine Atom Beam”, Jpn J Appl Phys 46, L64 (2007) 34 W Zhu and S Sridar, “Photo-assisted etching of silicon in chlorine- and brominecontaining plasmas”, J Appl Phys 115, 203303 (2014) 35 A V Vasenkov and X Li, “Properties of c- C4 F8 inductively coupled plasmas II Plasma chemistry and reaction mechanism for modeling of Ar /c-C4 F8 /O2 discharges”, J Vac Sci Technol A 22, 511 (2004) 36 D R Boris, E H Lock, R F Fernsler, and S G Walton, “Electron Beam generated Plasmas in Fluoride Chemistries”, 61st AVS Baltimore MD (2014) 37 N Marchack and J P Chang, “Perspectives in nanoscale plasma etching: what are the ultimate limits?”, J Phys D: Appl Phys 44, 174011 (2011) 38 R W Johnson, A Hultqvist, and S F Bent, “A brief review of atomic layer deposition: from fundamentals to applications”, Materials Today 17(5), 236 (2014) N5059 39 H B Profijt and S E Potts, “Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges”, J Vac Sci Technol A 29(5), 050801 (2011) 40 M Schaepkens and G S Oehrlein, ”Study of the SiO2 -to-Si3 N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2 -to-Si mechanism”, J Vac Sci Technol A, 17(1), 26 (1999) 41 T E F M Standaert and M Schaepkens, “High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer“, J Vac Sci Technol A 16, 239 (1998) 42 N Negishi and H Takesue, Proceedings of the Dry Process International Symposium, 2003, pp 287 43 E A Hudson and Z Dai, Proceedings of the Dry Process International Symposium, 2003, pp 253 44 Y Zhang, G S Oehrlein, and F H Bell, “Fluorocarbon high density plasmas VII Investigation of selective SiO2 -to-Si3 N4 high density plasma etch processes”, J Vac Sci Tech A, 14(4), 2127 (1996) 45 S Samukawa and T Mukai, “Differences in radical generation due to chemical bonding of gas molecules in a high-density fluorocarbon plasma: Effects of the C = C bond in fluorocarbon gases”, J Vac Sci Tech A, 17(5), 2463 (1999) 46 A D Franklin, “Electronics: The road to carbon nanotube transistors”, Nature 498, 443 (2013) 47 M Baraket, R Stine, W K Lee, J T Robinson, C R Tamanaha, P E Sheehan, and S G Walton, “Animated Graphene for DNA Attachement Produced via Plasma Functionalization”, App Phys Lett 100, 233123 (2012) 48 M Baraket, S G Walton, E H Lock, J T Robinson, and F K Perkins, “The functionalization of graphene using electron-beam generated plasmas”, Appl Phys Lett 96, 231501 (2010) 49 S M George, “Atomic Layer Deposition: An Overview”, Chem Rev 110, 111 (2010) 50 E Langereis and S B S Heil, “In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition”, J Phys D: Appl Phys 42, 073001 (2009) 51 S D Park, D H Lee, and G Y Yeom, “Atomic Layer Etching of Si (100) and Si (111) using Cl2 and Ar Neutral Beam”, Electrochemical and Solid-State Letters 8, C106 (2005) 52 S D Park and K S Min, “Precise Depth Control of Silicon Etching Using Chlorine Atomic Layer Etching”, Jpn J Appl Phys 44, 1A (2005) 53 S D Park and C K Oh, “Atomic layer etching of InP using a low angle forward reflected neutral beam”, Appl Phys Lett 89, 043109 (2006) 54 J K Kim and S I Cho, “Atomic layer etching removal of damaged layers in a contact hole for low sheet resistance”, J Vac Sci Technol A 31(6), 061302 (2013) 55 B Y Han, C Y Cha, and J H Weaver, “Layer-by-layer etching of GaAs (110) with halogenation and pulsed-laser irradiation”, J Vac Sci Technol A 16(2), 490 (1998) 56 E Vogli, D Metzler, and G S Oehrlein, “Feasibility of atomic layer etching of polymer material based on sequential O2 exposure and Ar low-pressure plasmaetching”, Appl Phys Lett 102, 253105 (2013) 57 M A George and D W Hess, “Reaction of 1,1,1,5,5,5-Hexafluoro-2,4-pentanedione (H+ hfac) with CuO , Cu2 O , and Cu Films”, J Electrochem Soc 142(3), 961 (1995) 58 K Ohno and M Sato, ”Reactive Ion Etching of Copper Films in SiCl4 and N2 Mixture”, Jpn J Appl Phys., 28, L1070 (1989) 59 N S Kulkarni and R T DeHoff, “Application of Volatility Diagrams for Low Temperature, Dry Etching, and Planarization of Copper ”, J Electrochem Soc., 149, G620 (2002) 60 F Wu, G Levitin, and D W Hess, ”Patterning of Cu Films by a Two-Step Plasma Etching Process at Low Temperature”, J Electrochem Soc., 157, H474 (2010) 61 W C Natzle and D Horak, ”Trimming of hard-masks by gaseous Chemical Oxide Removal (COR) for sub-10 nm gates/fins, for gate length control and for embedded logic”, ASMC, 61 (2004) 62 E A Joseph and S U Engelmann, “Advanced plasma etch for the 10nm node and beyond”, Proc SPIE 8685, 86850A (2013) 63 N Posseme, O Pollet, and S Barnola, “Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium”, Appl Phys Lett 105, 051605 (2014) 64 S Samukawa and K Noguchi, “Reduction of plasma induced damage in an inductively coupled plasma using pulsed source power”, J Vac Sci Technol B 18, 834 (2000) 65 S.-B Wang and A E Wendt, “Control of ion energy distribution at substrates during plasma processing”, Journal of Applied Physics 88(2), 643 (2000) 66 A Agarwal, S Rauf, and K Collins, ”Extraction of negative ions from pulsed electronegative capacitively coupled plasmas”, J Appl Phys 112, 033303 (2012) 67 S K Kanakasbapathy, M H Khater, and L J Overzet, “Comparison of negativeion and positive-ion assisted etching of silicon”, Appl Phys Lett 79(12), 1769 (2001) 68 C C Welch , D L Olynick, “Formation of nanoscale structures by inductively coupled plasma etching”, Proc SPIE 8700, International Conference Micro- and Nano-Electronics 2012, 870002 (2013) 69 Zuwei Liu and Y Wu, “Super-selective cryogenic etching for sub-10 nm features”, Nanotechnology 24, 015305 (2013) 70 R Dussart and T Tillocher, “Plasma cryogenic etching of silicon: from the early days to today’s advanced technologies”, J Phys D: Appl Phys 47, 123001 (2014) 71 D L Olynick and E H Anderson, “Substrate cooling efficiency during cryogenic inductively coupled plasma polymer etching for diffractive optics on membranes”, J Vac Sci Technol B 19, 2896 (2001) Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract) N5060 ECS Journal of Solid State Science and Technology, (6) N5054-N5060 (2015) 72 S Shannon and D Hoffman, “The impact of frequency mixing on sheath properties: Ion energy distribution and Vdc /Vrf interaction”, J Appl Phys 97(10) 103304 (2005) 73 D Metzler, R L Bruce, S Engelmann, E A Joseph, and G S Oehrlein, “Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4 F8 plasma” J Vac Sci Technol A 32, 020603 (2014) 74 E Hudson and V Vidyarthi, “Highly selective etching of Silicon Dioxide Using Fluorocarbons”, 61st AVS Baltimore MD (2014) 75 F Roozeboom and B Kniknie, “A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation”, IOP Conf Series: Materials Science and Engineering 41, 012001 (2012) Downloaded on 2015-04-24 to IP 192.231.202.205 address Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract)

Ngày đăng: 01/11/2022, 09:03

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN