1. Trang chủ
  2. » Ngoại Ngữ

UVM Verification of an SPI Master Core

156 9 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Rochester Institute of Technology RIT Scholar Works Theses 5-2018 UVM Verification of an SPI Master Core Deepak Siddharth Parthipan dp9040@rit.edu Follow this and additional works at: https://scholarworks.rit.edu/theses Recommended Citation Parthipan, Deepak Siddharth, "UVM Verification of an SPI Master Core" (2018) Thesis Rochester Institute of Technology Accessed from This Master's Project is brought to you for free and open access by RIT Scholar Works It has been accepted for inclusion in Theses by an authorized administrator of RIT Scholar Works For more information, please contact ritscholarworks@rit.edu UVM VERIFICATION OF AN SPI MASTER CORE by Deepak Siddharth Parthipan G RADUATE PAPER Submitted in partial fulfillment of the requirements for the degree of M ASTER OF S CIENCE in Electrical Engineering Approved by: Mr Mark A Indovina, Lecturer Graduate Research Advisor, Department of Electrical and Microelectronic Engineering Dr Sohail A Dianat, Professor Department Head, Department of Electrical and Microelectronic Engineering D EPARTMENT OF E LECTRICAL AND M ICROELECTRONIC E NGINEERING K ATE G LEASON C OLLEGE OF E NGINEERING ROCHESTER I NSTITUTE OF T ECHNOLOGY ROCHESTER , N EW YORK M AY, 2018 I would like to dedicate this work to my family, my father Parthipan Kempanna Gowder, my mother Malarmathy Parthipan, my sister Vaishnavi Parthipan, and friends for their love and support during my thesis Declaration I hereby state that except where explicit references are made to the work of others, that all work and contents of this Graduate Paper are original and have not been submitted in part or whole for consideration for any other qualification in this, or any other University This UVM Verification of an SPI Master Core Graduate Paper is the result of my work and not a collaborative work, except where explicit references are mentioned Deepak Siddharth Parthipan May, 2018 Acknowledgements I would like to thank my advisor, Professor Mark A Indovina, for his support, guidance, feedback, and encouragement which helped in the successful completion of my graduate research Abstract In today’s world, more and more functionalities in the form of IP cores are integrated into a single chip or SOC System-level verification of such large SOCs has become complex The modern trend is to provide pre-designed IP cores with companion Verification IP These Verification IPs are independent, scalable, and reusable verification components The SystemVerilog language is based on object-oriented principles and is the most promising language to develop a complete verification environment with functional coverage, constrained random testing and assertions The Universal Verification Methodology, written in SystemVerilog, is a base class library of reusable verification components This paper discusses a Universal Verification Methodology based environment for testing a Wishbone compliant SPI master controller core A multi-layer testbench was developed which consists of a Wishbone bus functional model, SPI slave model, driver, scoreboard, coverage analysis, and assertions developed using various properties of SystemVerilog an the UVM library Later, constrained random testing using vectors driven into the DUT for higher functional coverage is discussed The verification results shows the effectiveness and feasibility of the proposed verification environment Contents Contents v List of Figures xi List of Tables xii Introduction 1.1 Research Goals 1.2 Contributions 1.3 Organization Bibliographical Research System Verification 3.1 State of the art 3.2 UVM Overview 3.3 UVM Class Hierarchy 3.3.1 UVM Testbench Top 10 3.3.2 UVM Test 11 3.3.3 UVM Environment 11 vi Contents 3.3.4 UVM Agent 11 3.3.5 UVM Sequence Item 12 3.3.6 UVM Sequence 12 3.3.7 UVM Driver 13 3.3.8 UVM Sequencer 13 3.3.9 UVM Monitor 13 3.3.10 UVM Scoreboard 14 3.4 3.5 UVM Transaction Level Communication Protocol 14 3.4.1 Basic Transaction Level Communication 14 3.4.2 Analysis ports and Exports 15 UVM Phases 15 3.5.1 Build Phase 15 3.5.2 Connect Phase 16 3.5.3 End of Elaboration Phase 17 3.5.4 Start of Simulation Phase 17 3.5.5 Normal Run Phase 17 3.5.6 Scheduled Run Phase 17 3.5.6.1 Pre Reset Phase 17 3.5.6.2 Reset Phase 18 3.5.6.3 Post Reset Phase 18 3.5.6.4 Pre Configure Phase 18 3.5.6.5 Configure Phase 18 3.5.6.6 Post Configure Phase 18 3.5.6.7 Pre Main Phase 18 3.5.6.8 Main Phase 18 vii Contents 3.5.6.9 Post Main Phase 19 3.5.6.10 Pre Shutdown Phase 19 3.5.6.11 Shutdown Phase 19 3.5.6.12 Post Shutdown Phase 19 3.5.7 Extract Phase 19 3.5.8 Check Phase 19 3.5.9 Report Phase 20 3.5.10 Final Phase 20 3.6 UVM Macros 20 System Architecture 21 4.1 WISHBONE Interface 21 4.2 WISHBONE I/O Registers 22 4.3 Serial Peripheral Interface 24 4.4 Data Transmission 25 4.5 Hardware Architecture 27 4.6 4.5.1 Design of Clock Generation module (spi_clk_gen) 27 4.5.2 Serial data transfer module design (spi_shift) 29 4.5.3 Top-level module (spi) 29 SPI Registers 29 4.6.1 RxX Register 29 4.6.2 TxX Register 30 4.6.3 ASS Register 30 4.6.4 DIVIDER Register 31 4.6.5 SS Register 31 viii Contents 4.6.6 IE Register 31 4.6.7 LSB Register 31 4.6.8 Tx_NEG Register 32 4.6.9 Rx_NEG Register 32 4.6.10 GO_BSY Register 32 4.6.11 CHAR_LEN Register 32 4.7 Limitation of Standard SPI and Advancements 33 Test Methodology and Results 5.1 34 Testbench Components 34 5.1.1 Test top 34 5.1.2 spi_interface 35 5.1.3 spi_package 36 5.1.4 spi_test 36 5.1.5 spi_environment 36 5.1.6 spi_agent 36 5.1.7 spi_sequence_item 37 5.1.8 spi_sequence 37 5.1.9 spi_sequencer 37 5.1.10 spi_driver 38 5.1.11 spi_monitor 39 5.1.12 spi_scoreboard 39 5.1.13 wishbone_bfm 39 5.2 Testbench Results 40 5.2.1 SPI Master Controller Synthesis Benchmarking 40 128 I.17 Scoreboard 86 ‘ u v m _ i n f o ( g e t _ f u l l _ n a m e ( ) , $ s f o r m a t f ( " M a s t e r FAILED : exp d a t a =%0h and o u t m a s t e r d a t a =%0h " , i p _ p k t e x p _ m a s t e r _ d a t a , o p _ p k t o u t _ m a s t e r _ d a t a ) ,UVM_MEDIUM) 87 f a i l ++; 88 end 89 90 endfunction : perform_check / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 91 f u n c t i o n v o i d e x t r a c t _ p h a s e ( uvm_phase p h a s e ) ; 92 endfunction : extract_phase 93 / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 94 f u n c t i o n v o i d r e p o r t _ p h a s e ( uvm_phase p h a s e ) ; 95 i f ( f a i l ==0) 96 begin 97 98 $display ( "−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−32b i t −−MSB F i r s t −−TX : p o s e d g e −−RX: negedge −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−" ) ; 99 100 $display ( "−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−TEST PASSED−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−" ) ; 101 102 $display (" ******************************************************************** "); 103 u v m _ r e p o r t _ i n f o ( " S c o r e b o a r d R e p o r t " , $ s f o r m a t f ( " T r a s a c t i o n s PASS = %0d FAIL = %0d " , p a s s , f a i l ) ,UVM_MEDIUM) ; I.17 Scoreboard 104 105 129 $display (" ******************************************************************** "); 106 $display 107 (" −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− "); 108 109 $display (" −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− "); 110 end 111 else 112 begin 113 114 $display ( "−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−32b i t −−MSB F i r s t −−TX : p o s e d g e −−RX: negedge −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−" ) ; 115 $display 116 ( "−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−TEST FAILED−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−" ) ; 117 118 $display (" ******************************************************************** "); I.17 Scoreboard 130 119 u v m _ r e p o r t _ i n f o ( " S c o r e b o a r d R e p o r t " , $ s f o r m a t f ( " T r a s a c t i o n s PASS = %0d FAIL = %0d " , p a s s , f a i l ) ,UVM_MEDIUM) ; 120 121 $display (" ******************************************************************** "); 122 $display 123 (" −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− "); 124 125 $display (" −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− "); 126 end 127 endfunction : report_phase 128 / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 129 e n d c l a s s : s p i _ s c o r e b o a r d 130 / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 131 I.18 Coverage I.18 Coverage /* * Author : Deepak S i d d h a r t h P a r t h i p a n * RIT , NY, USA * Module : coverage */ / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− c l a s s s p i _ c o v e r a g e e x t e n d s uvm_component ; / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− ‘uvm_component_utils ( spi_coverage ) 10 11 12 13 spi_sequence_item c_pkt ; / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− covergroup spi_trans_cg ; 14 15 cp_dut_mosi : c o v e r p o i n t c_pkt exp_master_data 16 { 17 bins byte7 = {[0:255]}; 18 bins byte15 = {[256:65535]}; 19 bins byte23 = {[65536:16777215]}; 20 bins byte31 = {[16777216: $ ] } ; 21 } 22 endgroup : s p i _ t r a n s _ c g 23 / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− I.18 Coverage 24 132 f u n c t i o n new ( s t r i n g name= " s p i _ c o v g " , uvm_component p a r e n t = null ) ; 25 s u p e r new ( name , p a r e n t ) ; 26 s p i _ t r a n s _ c g = new ( ) ; 27 e n d f u n c t i o n : new 28 29 / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− f u n c t i o n void perform_coverage ( spi_sequence_item pkt ) ; 30 t h i s c_pkt=pkt ; 31 s p i _ t r a n s _ c g sample ( ) ; 32 33 endfunction : perform_coverage / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 34 e n d c l a s s : s p i _ c o v e r a g e 35 / /−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− I.19 SPI Slave Model I.19 133 SPI Slave Model /* * Author : Deepak S i d d h a r t h P a r t h i p a n * RIT , NY, USA * Module : spi_slave_model */ // −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− ‘include " src / spi_defines v" ‘include " src / timescale v" // −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 10 module s p i _ s l a v e ( 11 / / Wishbone s i g n a l s 12 w b _ c l k _ i , w b _ r s t _ i , w b _ a d r _ i , w b _ d a t _ i , wb_dat_o , w b _ s e l _ i , 13 wb_we_i , w b _ s t b _ i , wb_cyc_i , wb_ack_o , wb_err_o , w b _ i n t _ o , 14 15 / / SPI s i g n a l s 16 s s _ p a d _ i , s c l k _ p a d _ i , m o s i _ p a d _ i , miso_pad_o , 17 18 / / Scan I n s e r t i o n 19 scan_in0 , scan_en , test_mode , scan_out0 ) ; / / , r e s e t , c l k ) ; 134 I.19 SPI Slave Model 20 // −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 21 / / Wishbone s i g n a l s 22 input wb_clk_i ; / / master wb_rst_i ; // clock input 23 input synchronous a c t i v e high r e s e t 24 input [ : ] wb_adr_i ; / / lower address bits 25 input [32 −1:0] wb_dat_i ; / / databus [ − : ] wb_dat_o ; / / databus input 26 output output 27 input [ : ] wb_sel_i ; / / byte select inputs 28 input wb_we_i ; / / write wb_stb_i ; / / stobe / wb_cyc_i ; // valid wb_ack_o ; / / bus enable input 29 input core s e l e c t signal 30 input bus c y c l e i n p u t 31 output c y c l e acknowledge o u t p u t 135 I.19 SPI Slave Model 32 output wb_err_o ; // wb_int_o ; // t e r m i n a t i o n w/ e r r o r 33 output i n t e r r u p t request signal output 34 35 36 / / SPI s i g n a l s input [ ‘SPI_SS_NB − : ] ss_pad_i ; / / slave sclk_pad_i ; // mosi_pad_i ; / / master miso_pad_o ; / / master scan_in0 ; // test scan_en ; // test test_mode ; // test scan_out0 ; // test select 37 input serial clock 38 input out slave in 39 output in slave out 40 41 input s c a n mode d a t a i n p u t 42 input s c a n mode e n a b l e 43 input mode s e l e c t 44 output s c a n mode d a t a o u t p u t 45 136 I.19 SPI Slave Model 46 wire rx_negedge ; / / slave tx_negedge ; / / slave spi_tx_sel ; // tx_l r e c e i v i n g on n e g e d g e 47 wire t r a n s m i t i n g on n e g e d g e 48 wire register select 49 50 reg [ − : ] wb_dat_o ; 51 reg [ − : ] wb_dat ; 52 reg wb_ack_o ; 53 reg wb_int_o ; 54 reg 55 reg [ ‘SPI_CTRL_BIT_NB − : ] c t r l ; miso_pad_o ; 56 57 // −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 58 / / Address decoder 59 assign spi_ctrl_sel = wb_cyc_i & wb_stb_i & ( wb_adr_i [ ‘SPI_OFS_BITS ] == ‘SPI_CTRL ) ; 60 61 a s s i g n r x _ n e g e d g e = c t r l [ ‘SPI_CTRL_RX_NEGEDGE ] ; 62 a s s i g n t x _ n e g e d g e = c t r l [ ‘SPI_CTRL_TX_NEGEDGE ] ; 63 assign char_len = c t r l [ ‘SPI_CTRL_CHAR_LEN ] ; 64 assign ie = c t r l [ ‘SPI_CTRL_IE ] ; 137 I.19 SPI Slave Model 65 66 assign spi_tx_sel = wb_cyc_i & wb_stb_i & ( wb_adr_i [ ‘SPI_OFS_BITS ] == ‘SPI_TX_0 ) ; 67 // −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 68 / / Wb d a t a o u t 69 a l w a y s @( p o s e d g e w b _ c l k _ i o r p o s e d g e w b _ r s t _ i ) 70 begin 71 i f ( wb_rst_i ) 72 wb_dat_o

Ngày đăng: 26/10/2022, 10:17

Xem thêm:

Mục lục

    UVM Verification of an SPI Master Core

    3.1 State of the art

    3.4 UVM Transaction Level Communication Protocol

    3.4.1 Basic Transaction Level Communication

    3.4.2 Analysis ports and Exports

    3.5.3 End of Elaboration Phase

    3.5.4 Start of Simulation Phase

    4.2 WISHBONE I/O Registers

    4.5.1 Design of Clock Generation module (spi_clk_gen)

    4.5.2 Serial data transfer module design (spi_shift)

TÀI LIỆU CÙNG NGƯỜI DÙNG

  • Đang cập nhật ...

TÀI LIỆU LIÊN QUAN