1. Trang chủ
  2. » Luận Văn - Báo Cáo

[123doc] - thiet-ke-va-thuc-thi-dong-ho-thoi-gian-tren-fpga-thiet-ke-mach-so

43 0 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Cấu trúc

  • LỜI CẢM ƠN

  • CHƯƠNG 1: TỔNG QUAN VỀ NGÔN NGỮ VHDL

    • 1.1. Tổng quan về ngôn ngữ VHDL

    • 1.2. Giới thiệu công nghệ (và ứng dụng) thiết kế mạch bằng VHDL

      • 1.2.1. Ứng dụng của công nghệ thiết kế mạch bằng VHDL

      • 1.2.2. Quy trinh thiết kế mạch bằng VHDL

      • 1.2.3. Quy trinh thiết kế mạch bằng VHDL

      • 1.2.4. Chuyển mã VHDL vào mạch

    • 1.3. Cấu trúc chương trình VHDL

      • 1.3.1. Khai báo thư viện

      • 1.3.2. Mô tả thực tế (ENTITY)

      • 1.3.3. Mô tả kiến trúc (ARCHITECTURE):

    • 1.4. Một số ưu điểm của ngôn ngữ VHDL

  • CHƯƠNG 2: THIẾT KẾ MẠCH SỐ TRÊN FPGA

    • 2.1. Tổng quan về kiến trúc FPGA

      • 2.1.1. Tổng quan

      • 2.1.2. Lịch sử phát triển

      • 2.1.3. Ứng dụng

    • 2.2. Cấu trúc của FPGA

    • 2.3. Quy trình thiết kế FPGA

      • 2.3.1. Mô tả ban đầu về thiết kế

      • 2.3.2. Thực thi

    • 2.4. Nạp chương trình vào FPGA

    • 2.5. Giới thiệu về Kit Xilinx FPGA Artix 7

    • 2.6. Kết luận chương

  • CHƯƠNG 3 THIẾT KẾ VÀ THỰC THI ĐỒNG HỒ THỜI GIAN TRÊN FPGA

    • 3.1. Tổng quan về đồng hồ thời gian

    • 3.2. Thiết kế đồng hồ thời gian

      • 3.2.1. Mục tiêu

      • 3.2.2. Mục tiêu

      • 3.2.3. Sơ đồ khối mạch đồng hồ thời gian

      • 3.2.4. Kết quả thực thi

    • 3.3. Kết luận

  • TÀI LIỆU THAM KHẢO

  • PHỤ LỤC

Nội dung

TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI TRUNG TÂM ĐÀO TẠO SAU ĐẠI HỌC BÀI TẬP TIỂU LUẬN MÔN HỌC: THIẾT KẾ MẠCH SỐ ĐỀ TÀI: THIẾT KẾ VÀ THỰC THI ĐỒNG HỒ THỜI GIAN TRÊN FPGA HÀ NỘI – 201 Hà Nội, 9/2020 LỜI CẢM ƠN Kính gửi đến thầy XXX (khoa Điện Tử, trường Đại học Công Nghiệp Hà Nội) lời cảm ơn chân thành sâu sắc Cảm ơn thầy tận tình hướng dẫn, dạy chúng em môn “Thiết kế mạch số” suốt trình học thực tiểu luận Chúng em xin trình bày tiểu luận mơn học “Thiết kế thực thi đồng hồ thời gian FPGA” Do hạn chế thời gian kiến thức nên nghiên cứu, tìm hiểu mang tính tổng quan, định tính có nhiều kiến thức nên khơng tránh khỏi sai sót Chúng em mong góp ý, bảo thầy để tiểu luận đạt kết tốt Hà Nội, ngày 20 tháng 09 năm 2020 Học viên thực Nguyễn Thanh Phong MỤC LỤC LỜI CẢM ƠN CHƯƠNG 1: TỔNG QUAN VỀ NGÔN NGỮ VHDL 1.1 Tổng quan ngôn ngữ VHDL 1.2 Giới thiệu công nghệ (và ứng dụng) thiết kế mạch VHDL .7 1.2.1 Ứng dụng công nghệ thiết kế mạch VHDL 1.2.2 Quy trinh thiết kế mạch VHDL 1.2.3 Quy trinh thiết kế mạch VHDL 1.2.4 Chuyển mã VHDL vào mạch .9 1.3 Cấu trúc chương trình VHDL 11 1.3.1 Khai báo thư viện .13 1.3.2 Mô tả thực tế (ENTITY) 14 1.3.3 Mô tả kiến trúc (ARCHITECTURE): 15 1.4 Một số ưu điểm ngôn ngữ VHDL 19 CHƯƠNG 2: THIẾT KẾ MẠCH SỐ TRÊN FPGA 22 2.1 Tổng quan kiến trúc FPGA 22 2.1.1 Tổng quan 22 2.1.2 Lịch sử phát triển .23 2.1.3 Ứng dụng 24 2.2 Cấu trúc FPGA 25 2.3 Quy trình thiết kế FPGA 27 2.3.1 Mô tả ban đầu thiết kế 27 2.3.2 Thực thi 28 2.4 Nạp chương trình vào FPGA 29 2.5 Giới thiệu Kit Xilinx FPGA Artix 29 2.6 Kết luận chương .32 CHƯƠNG THIẾT KẾ VÀ THỰC THI ĐỒNG HỒ THỜI GIAN TRÊN FPGA 33 3.1 Tổng quan đồng hồ thời gian .33 3.2 Thiết kế đồng hồ thời gian 33 3.2.1 Mục tiêu 33 3.2.2 Mục tiêu 34 3.2.3 Sơ đồ khối mạch đồng hồ thời gian 34 3.2.4 Kết thực thi 36 3.3 Kết luận 37 TÀI LIỆU THAM KHẢO 38 PHỤ LỤC .39 CHƯƠNG 1: TỔNG QUAN VỀ NGÔN NGỮ VHDL 1.1 Tổng quan ngôn ngữ VHDL VHDL ngôn ngữ mô tả phần cứng (Hardware Description Language), dùng phổ biến việc thiết kế mạch tích hợp hệ thống số cách dễ dàng hiệu với tốc độ cao Ngôn ngữ VHDL dựa ngôn ngữ mô tả phần cứng khác VHSIC (Very High Speed Integated Circuit) quốc phòng Mỹ phát triển từ năm 1980 Phiên ngôn ngữ VHDL VHDL 87 VHDL ngôn ngữ mô tả phần cứng tổ chức IEEE chứng nhận tiêu chuẩn chung [1] Một điều thúc đẩy việc sử dụng ngơn ngữ VHDL tính chuẩn hóa, độc lập công nghệ nhà cung cấp…VHDL ứng dụng trực tiếp công nghệ FPGA , CPLDs…Code VHDL viết để thực thi (implement) mạch tích hợp thiết bị lập trình hãng Xilinx, Altera, Amtel … VHDL phát triển để giải khó khăn việc phát triển, thay đổi lập tài liệu cho hệ thống số VHDL ngôn ngữ độc lập không gắn với phương pháp thiết kế, mô tả hay công nghệ phần cứng Người thiết kế tự lựa chọn cơng nghệ, phương pháp thiết kế sử dụng ngôn ngữ Và đem so sánh với ngôn ngữ mô phần cứng khác ta thấy VHDL có số ưu điểm hẳn là: - Thứ tính cơng cộng: VHDL phát triển bảo trợ phủ Mỹ tiêu chuẩn IEEE VHDL hỗ trợ nhiều nhà sản xuất thiết bị nhiều nhà cung cấp công cụ thiết kế mô hệ thống - Thứ hai khả hỗ trợ nhiều công nghệ nhiều phương pháp thiết kế: VHDL cho phép thiết kế nhiều phương pháp ví dụ phương pháp thiết kế từ xuống, hay từ lên dựa vào thư viện sẵn có VHDL hỗ trợ cho nhiều loại công cụ xây dựng mạch sử dụng công nghệ đồng hay không đồng bộ, sử dụng ma trận lập trình hay sử dụng mảng ngẫu nhiên - Thứ ba tính độc lập với cơng nghệ: VHDL hồn tồn độc lập với cơng nghệ chế tạo phần cứng Một mô tả hệ thống dùng VHDL thiết kế mức cổng chuyển thành tổng hợp mạch khác tuỳ thuộc công nghệ chế tạo phần cứng đời áp dụng cho hệ thống thiết kế - Thứ tư khả mô tả mở rộng: VHDL cho phép mô tả hoạt động phần cứng từ mức hệ thống số mức cổng VHDL có khả mơ tả hoạt động hệ thống nhiều mức sử dụng cú pháp chặt chẽ thống cho mức Như ta mơ thiết kế bao gồm hệ mô tả chi tiết - Thứ năm khả trao đổi kết quả: Vì VHDL tiêu chuẩn chấp nhận, nên mơ hình VHDL chạy mơ tả đáp ứng tiêu chuẩn VHDL Các kết mơ tả hệ thống trao đổi nhà thiết kế sử dụng công cụ thiết kế khác tuân theo tiêu chuẩn VHDL Cũng nhóm thiết kế trao đổi mơ tả mức cao hệ thống hệ thống lớn (trong hệ thiết kế độc lập) - Thứ sáu khả hỗ trợ thiết kế mức lớn khả sử dụng lại thiết kế: VHDL phát triển ngơn ngữ lập trình bậc cao, sử dụng để thiết kế hệ thống lớn với tham gia nhóm nhiều người Bên ngơn ngữ VHDL có nhiều tính hỗ trợ việc quản lý, thử nghiệm chia sẻ thiết kế Và cho phép dùng lại phần có sẵn 1.2 Giới thiệu cơng nghệ (và ứng dụng) thiết kế mạch VHDL 1.2.1 Ứng dụng công nghệ thiết kế mạch VHDL Hiện ứng dụng trực tiếp VHDL ứng dụng thiết bị logic lập trình (Programmable Logic Devices – PLD) (bao gồm thiết bị logic phức tạp lập trình FPGA - Field Programmable Gate Arrays) ứng dụng ASICs(Application Specific Integrated Circuits) Khi lập trình cho thiết bị cần viết mã VHDL lần, sau ta áp dụng cho thiết bị khác (như Altera, Xilinx, Atmel,…) để chế tạo chip ASIC Hiện nay, có nhiều thương mại phức tạp (như vi điều khiển) thiết kế theo dựa ngôn ngữ VHDL 1.2.2 Quy trinh thiết kế mạch VHDL Như đề cập trên, số lớn ứng dụng VHDL chế tạo mạch hệ thống thiết bị lập trình (PLD FPGA) ASIC Việc chế tao vi mạch chia thành giai đoạn sau: - Giai đoạn 1: Chúng ta bắt đầu thiết kế viết mã VHDL Mã VHDL lưu vào file có vhd có tên với tên thực thể Mã VHDL mô tả tầng chuyển đổi ghi Hình 1 Tóm tắt quy trình thiết kế VHDL - Giai đoạn 2: Giai đoạn chế tạo: Bước trình chế tạo biên dich Quá trình biên dịch chuyển mã VHDL vào netlist tầng cổng Bước thứ trình chế tạo tối ưu Quá trình tối ưu thực netlist tầng cổng tốc độ phạm vi Trong giai đoạn này, thiết kế mơ để kiểm tra phát lỗi xảy trình chế tạo - Giai đoạn 3: Là giai đoạn ghép nối đóng gói phần mềm Ở giai đoạn tạo xếp vật lý cho chip PLD/FPGA tạo mặt nạ cho ASIC 1.2.3 Quy trinh thiết kế mạch VHDL Các công cụ phục vụ cho trình thiết kế vi mạch là: - Cơng cụ Active – HDL: Tạo mã VHDL mô - Công cụ EDA (Electronic Design Automation): công cụ tự động thiết kế mạch điện tử Công cụ dùng để phục vụ cho việc chế tạo, thực thi mô mạch sử dụng VHDL - Công cụ cho đóng gói: Các cơng cụ cho phép tổng hợp mã VHDL vào chip CPLD/FPGA Altera hệ ISE Xilinx, for Xilinx’s CPLD/FPGA chips) 1.2.4 Chuyển mã VHDL vào mạch Một cộng đầy đủ mơ tả hình đây: Hình Sơ đồ tổng quát cộng đầy đủ Trong đó, a , b bit vào cho cộng, cin bit nhớ Đầu s bit tổng, cout bit nhớ Hoạt động mạch dạng bảng chân lý: a b ci s coun n t 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 Bảng 1 Bảng chân lý cộng đầy đủ Bit s cout tính sau: Từ cơng thức tính s cout ta viết đoạn mã VHDL đây: Hình Mã thiết kế cộng Từ mã VHDL này, mạch vật lý tạo Tuy nhiên có nhiều cách để thực phương trình miêu tả ARCHITECTURE OF, mạch thực tế phụ thuộc vào biên dịch/bộ tối ưu sử dụng đặc biệt phụ thuộc mục đích cơng nghệ Hình vẽ sau thể số dạng kiến trúc mạch cộng: Hình Các ví dụ sơ đồ mạch có ứng với mã hình 1.3 Trong trường hợp này, mục đích cơng nghệ thiết bị lgic có thê lập trình (PLD, FPGA), kết cho cout thoả mãn hình (b) hình 10 ... library_name.package_name.package_parts; Có ba thư viện thường sử dụng tất chương trình VHDL - ieee.std_logic_116 - standard - work Tuy nhiên, viết chương trình, cần khai báo thư viện ieee hai thư viện cịn... sau: - Giai đoạn 1: Chúng ta bắt đầu thiết kế viết mã VHDL Mã VHDL lưu vào file có vhd có tên với tên thực thể Mã VHDL mô tả tầng chuyển đổi ghi Hình 1 Tóm tắt quy trình thiết kế VHDL - Giai... trinh thiết kế mạch VHDL Các công cụ phục vụ cho trình thiết kế vi mạch là: - Công cụ Active – HDL: Tạo mã VHDL mô - Công cụ EDA (Electronic Design Automation): công cụ tự động thiết kế mạch

Ngày đăng: 15/03/2022, 09:14

w