ISE Foundation: đây là gói phần mềm hoàn chỉnh nhất, dễ sử dụng, tính

Một phần của tài liệu TIẾP CẬN CÔNG NGHỆ FPGA (Trang 50 - 53)

II. Giới thiệu môi trường lập trình ISE.

d. ISE Foundation: đây là gói phần mềm hoàn chỉnh nhất, dễ sử dụng, tính

năng nhiều nhất đồng thời tích hợp các cơng cụ phân tích, tổng hợp và cơng nghệ kiểm tra sản phẩm với các giải pháp hữu hiệu.

2.2. Hướng dẫn sử dụng phần mềm ISE Foundation 7.1

Giao diện chương trình

Hướng dẫn các bước tạo một đề án mới ( ở đây lựa chọn kid là Spartan 3) Ø Bước 1: Từ mênu file à new project à Điền tên vào Poject name

àchọn thư mục lưu ở project location à chọn ngôn ngữ để viết à next:

Hình 3.4: Giao diện chính của mơi trường lập trình ISE 7.1

Ø Bước 2: Lựa chọn kid là spartan-3, loại Xc3s200, speed grade là -4, ngôn ngơi để soạn thảo là VHDL, mô phỏng dùng ModenSim.

Ø Bước 3.Sau khi là xong bước trên chúng ta next, sẽ có một cửa sổ để ta thêm nguồn mới vào đề án. Chọn New source à next àchọn Vhdl module à chọn tên mơ đunà next:

Hình3.6: Các lựa chọn cụ thể cho một đề án.

Ø Bước 4: Chọn các cổng vào ra cho đề án.

Bao gồm tên cổng, mô tả vào (in), ra(out) hay vào ra (in- out). Số bít vào ra

tương ứng với các cổng. Các bước tiếp theo cứ next đến khi kế thúc (finish). Sau

khi định nghĩa và mô tả xong ở bước này lúc đó trình dịch sẽ tự động tạo ra thực thể

với các cổng được mô tả bằng lệnh ( VHDL) như sau: entity chiatansodauvao is Port ( clock : in std_logic;

1hz : out std_logic;

led : out std_logic_vector(7 downto 0)); end chiatansodauvao;

architecture Behavioral of chiatansodauvao is begin

end Behavioral;

Như vậy ta đã tạo ra một đề án. Tiếp theo là ta viết chương trình ở cửa sổ soạn thảo. Vấn đề đặt ra là với chương trình lớn có nhiều hơn một mơ đule ta sẽ làm thế nào? Ở chương này ta chỉ giới thiệu cách thức để chúng ta tạo ra một đề án mới. Các

phần còn lại bao gồm kiểm tra cấu trúc lệnh, kiểm tra mức logic, gán chân, nạp cấu hình chạy thử sẽ được hướng dẫn chi tiết cùng với bài tập cụ thể.

Một phần của tài liệu TIẾP CẬN CÔNG NGHỆ FPGA (Trang 50 - 53)

Tải bản đầy đủ (PDF)

(198 trang)