Kết luận chương 3

Một phần của tài liệu Nghiên cứu điều khiển hệ truyền động biến tần đa mức có tính đến sự cố van bán dẫn (Trang 108 - 110)

L ỜI CẢM ƠN

3.4. Kết luận chương 3

Nội dung chương 3, luận án đề xuất bộ điều khiển dòng điện bảo đồng thời các mục tiêu:

- Tối ưu dòng điện

- Triệt tiêu thành công điện áp common mode

- Giảm số lượt chuyển mức của pha 43,6% so với điều kiện không có trọng số

giảm số lần đóng cắt.

Bên cạnh đó, để khắc phục nhược điểm tính toán lớn, phức tạp của phương pháp điều khiển MPC cho CHB – MLI, luận án đề xuất thuật toán 19 vector điện áp liền kề cho hàm mục tiêu. Thông qua cách xây dựng của thuật toán và kết quả kiểm chứng của mô phỏng cho thấy thuật toán đa thu được kết quả:

93 - Chỉ thực hiện 19 lượt tính toán của hàm mục tiêu với mức bất kỳ của CHB –

MLI.

- Thời gian tính toán là nhỏ nhất do thuật toán được tính toán, lập trình trên FPGA cho phép các phép tính thực hiện song song 19 lượt tính toán.

- Thời gian quá độnhanh hơn, dễ dàng thỏa mãn các mục tiêu khác: triệt tiêu điện áp common mode, tối ưu đóng cắt….hơn so với phương pháp 7 vector điện áp liền kềđã có.

Cuối cùng, chương 3 trình bày thiết kếđiều khiển MPC cho CHB – MLI cấp nguồn

cho động cơ không đồng bộtrong trường hợp van công suất bị lỗi. Dựa trên khái quát

hóa điều chếvector không gian để loại bỏcác vector điện áp lỗi, phương pháp điều khiển đã xây dựng đã đạt được kết quả: Hệ truyền động có thể tiếp tục làm việc hoặc dừng chủđộng, độ sụt giảm của tốc độ, momen là nhỏ nhất.

Như vậy, với bộđiều khiển dựbáo dòng điện FCS -MPC đã giải quyết được vấn

đề còn tồn tại của điều chế vector không gian cho nghịch lưu đa mức cấu trúc cầu H nối tầng là đồng thời triệt tiêu điện áp common mode và tối ưu đóng cắt.

94

Chương 4. Xây dng h thng thc nghim

Để kiểm chứng thuật toán đề xuất bộ thực nghiệm nghịch lưu 11 mức cầu H nối tầng được xây dựng với mục tiêu:

- Thực nghiệm kiểm chứng thuật toán khái quát hóa SVM đề xuất với cấu trúc nghịch lưu 11 mức cầu H nối tầng vòng hở với tải thuần trở.

- Thực nghiệm kiểm chứng thuật toán phát hiện và xử lý lỗi hở mạch van bán dẫn công suất với tải RL.

- Thực nghiệm kiểm chứng thuật toán điều khiển dựbáo dòng điện cho nghịch lưu đa mức cầu H nối tầng với tải RL để kiểm chứng chất lượng của bộđiều khiển khi chạy độc lập.

- Thực nghiệm kiểm chứng thuật toán MPC cho CHB – MLI nối tải động cơ với mạch vòng dòng điện là thuật toán đề xuất.

Một phần của tài liệu Nghiên cứu điều khiển hệ truyền động biến tần đa mức có tính đến sự cố van bán dẫn (Trang 108 - 110)

Tải bản đầy đủ (PDF)

(187 trang)