CÁC FLIP FLOP, THANH GHI, BỘ ĐẾM TRONG VHDL

Một phần của tài liệu Luận văn : Kỹ thuật PLD và ASIC doc (Trang 148 - 150)

I. GIỚI THIỆU:

CÁC FLIP FLOP, THANH GHI, BỘ ĐẾM TRONG VHDL

TRONG VHDL GIỚI THIỆU

THIẾT KẾ CÁC LOẠI FLIP FLOP

THIẾT KẾ FLIP FLOP JK

THIẾT KẾ FLIP FLOP D CÓ ENABLE

THIẾT KẾ THANH GHI DỊCH

THIẾT KẾ THANH GHI DỊCH 4 BIT THIẾT KẾ THANH GHI DỊCH 8 BIT THIẾT KẾ MẠCH ĐẾM JOHNSON 8 BIT THIẾT KẾ MẠCH ĐẾM VÒNG 8 BIT

THIẾT KẾ MẠCH ĐIỀU KHIỂN 8 LED SÁNG DẦN – TẮT DẦN

THIẾT KẾ MẠCH ĐẾM

THIẾT KẾ MẠCH ĐẾM NHỊ PHÂN 4 BIT – ĐẾM LÊN THIẾT KẾ MẠCH BCD – ĐẾM LÊN

THIẾT KẾ MẠCH ĐẾM BCD VÀ GIẢI MÃ HIỂN THỊ LED 7 ĐOẠN

THIẾT KẾ MẠCH ĐẾM BCD TỪ 00 ĐẾN 59 – HIỂN THỊ TRÊN 2 LED 7 ĐOẠN THIẾT KẾ MẠCH ĐẾM BCD TỪ 000 ĐẾN 999 – HIỂN THỊ TRÊN 3 LED 7 ĐOẠN

Hình 4-1. Sơ đồ khối FLIP FLIP JK.

Hình 4-2. Sơ đồ khối FLIP FLIP D có enable. Hình 4-3. Sơ đồ khối thanh ghi 4 bit.

Hình 4-4. Sơ đồ khối thanh ghi 4 bit, nạp song song. Hình 4-5. Sơ đồ khối thanh ghi 8 bit.

Hình 4-6. Sơ đồ khối mạch đếm vịng Johnson 8 bit. Hình 4-7. Sơ đồ khối mạch đếm vịng 8 bit.

Hình 4-8. Sơ đồ khối mạch điều khiển 8 led sáng tắt dần. Hình 4-9. Sơ đồ khối mạch đếm nhị phân 4 bit.

Hình 4-10. Sơ đồ khối mạch đếm BCD.

Hình 4-11. Sơ đồ khối mạch đếm BCD có giải mã 7 đoạn anode chung. Hình 4-12. Sơ đồ khối mạch đếm từ 00 đến 59 có hiển thị.

Hình 4-13. Sơ đồ khối mạch đếm từ 000 đến 999. Bảng 4-1. BTT FLIP FLIP JK.

Bảng 4-2. BTT FLIP FLIP D có enable. Bảng 4-3. BTT mạch thanh ghi dịch 4 bit.

Bảng 4-4. BTT mạch thanh ghi dịch 4 bit, nạp song song. Bảng 4-5. BTT thanh ghi dịch 8 bit.

Bảng 4-6. BTT mạch đếm JOHNSON 8 bit. Bảng 4-7. BTT mạch mạch đếm vòng 8bit.

Bảng 4-8. BTT mạch điều khiển 8 led sáng tắt dần. Bảng 4-9. BTT mạch đếm nhị phân 4 bit.

Bảng 4-10. BTT mạch đếm BCD.

Bảng 4-11. BTT mạch đếm BCD có giải mã 7 đoạn.

I. GIỚI THIỆU:

Trong phần này sẽ thiết kế các mạch flip flop, thanh ghi và mạch đếm dùng ngôn ngữ VHDL và sử dụng thiết bị lập trình.

Các mạch flip flop bao gồm flip flop JK, flip flop T, flip flop D.

Thanh ghi dịch bao gồm thanh ghi dịch nối tiếp sang nối tiếp, nối tiếp sang song song, mạch đếm vòng, mạch đếm JohnSon.

Mạch đếm nhị phân, mạch đếm lên đếm xuống, mạch đếm BCD, mạch đếm đặt trước số đếm, mạch đếm có giải mã sang led 7 đoạn, mạch đếm giây, đếm phút giây,…

Các thiết bị lập trình có thể dùng CPLD XC9572, XC 95144, Coolrunner XC2C256.

II. THIẾT KẾ CÁC LOẠI FLIP FLOP

Một phần của tài liệu Luận văn : Kỹ thuật PLD và ASIC doc (Trang 148 - 150)