Đồ án báo cáo thiết kế bãi giữ xe thông minh dùng VHDL mô phỏng modelsim Đề tài tập trung vào thiết kế và xây dựng mô hình bãi giữ xe thông minh. Tìm hiểu về ngôn ngữ lập trình VHDL mô tả phần cứng và phần mềm mô phỏng ModelSim. Thiết kế các tín hiệu đầu vào (input), tín hiệu đầu ra (output). Xây dựng sơ đồ khối hệ thống, lưu đồ giải thuật ( State Machine Chart ).
TỔNG LIÊN ĐOÀN LAO ĐỘNG VIỆT NAM TRƯỜNG ĐẠI HỌC TÔN ĐỨC THẮNG KHOA ĐIỆN – ĐIỆN TỬ TRẦN DUY VƯỢNG THIẾT KẾ BÃI GIỮ XE THÔNG MINH THIẾT KẾ HỆ THỐNG SỐ KỸ THUẬT ĐIỀU KHIỂN & TỰ ĐỘNG HĨA THÀNH PHỐ HỒ CHÍ MINH, NĂM 2021 TỔNG LIÊN ĐOÀN LAO ĐỘNG VIỆT NAM TRƯỜNG ĐẠI HỌC TÔN ĐỨC THẮNG KHOA ĐIỆN – ĐIỆN TỬ TRẦN DUY VƯỢNG - 41703209 THIẾT KẾ BÃI GIỮ XE THÔNG MINH THIẾT KẾ HỆ THỐNG SỐ KỸ THUẬT ĐIỀU KHIỂN & TỰ ĐỘNG HÓA Người hướng dẫn Th.S Vũ Văn Hiệp THÀNH PHỐ HỒ CHÍ MINH, NĂM 2021 CƠNG TRÌNH ĐƯỢC HỒN THÀNH TẠI TRƯỜNG ĐẠI HỌC TƠN ĐỨC THẮNG Tơi xin cam đoan cơng trình nghiên cứu riêng tơi hướng dẫn khoa học thầy ThS Vũ Văn Hiệp Các nội dung nghiên cứu, kết đề tài trung thực chưa công bố hình thức trước Những số liệu bảng biểu phục vụ cho việc phân tích, nhận xét, đánh giá tác giả thu thập từ nguồn khác có ghi rõ phần tài liệu tham khảo Ngồi ra, đồ án cịn sử dụng số nhận xét, đánh số liệu tác giả khác, quan tổ chức khác có trích dẫn thích nguồn gốc Nếu phát có gian lận tơi xin hoàn toàn chịu trách nhiệm nội dung đồ án Trường đại học Tơn Đức Thắng khơng liên quan đến vi phạm tác quyền, quyền tơi gây q trình thực (nếu có) TP Hồ Chí Minh, ngày tháng 12 năm 2021 Tác giả LỜI CẢM ƠN Tôi xin chân thành cảm ơn quý thầy cô giáo khoa Điện – Điện Tử giảng dạy cho kiến thức môn học đại cương mơn chun ngành, giúp tơi có đầy đủ kiến thức, kĩ thực hành góp ý, hướng dẫn tơi suốt q trình thực làm đồ án Đặc biệt, xin gửi lời tri ân sâu sắc đến thầy ThS Vũ Văn Hiệp tận tình, nhiệt huyết hướng dẫn bảo tơi suốt q trình làm đồ án Cuối cùng, tơi xin chân thành cảm ơn tới nhà trường, gia đình bạn bè tạo điều kiện cho thực làm đồ án Vì thân cịn hạn chế, q trình làm hồn thành đồ án tơi khơng tránh khỏi sai sót Kính mong nhận thơng cảm đóng góp ý kiến từ q thầy TP Hồ Chí Minh, ngày tháng 12 năm 2021 Tác giả TIỂU LUẬN MÔN THIẾT KẾ HỆ THỐNG SỐ Trang 5/25 MỤC LỤC DANH MỤC HÌNH VẼ THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MƠN THIẾT KẾ HỆ THỐNG SỐ Trang 6/25 CHƯƠNG TỔNG QUAN VỀ ĐỀ TÀI 1.1 Giới thiệu đề tài Với hệ thống gia thông giày đặc, xã hội phát triển nhu cầu sử dụng phương tiện cá nhân, đặc biệt xe ô tô ngày tăng Điều kéo theo việc bãi giữ xe xây dựng ngày nhiều, đặc biệt thành phố lớn diện tích đất ngày hạn hẹp Từ đó, số chủ phương tiện đỗ xe vỉa vè lịng đường gây mỹ quan thị an tồn giao thơng Một phương pháp nhằm giải khó khăn việc ứng dụng phát triển khoa học kỹ thuật, công nghệ cao vào xây dựng hạ tầng Cụ thể hơn, xây dựng bãi giữ xe mang tính đại, tự đơng cao tính an tồn đặt lên hàng đầu Đồng thời bãi đậu xe cần phải đáp ứng vừa mang tính kinh tế, vừa phù hợp với thực tiễn tiết kiệm quỹ đất xây dựng, tính cảnh quan,… Thừa hưởng thành trước mong muốn áp dụng kiến thức học vào thực tế để giải vấn đề nêu trên, định nghiên cứu đề tài “Thiết kế bãi giữ xe thông minh 1” 1.2 Mục đích nghiên cứu Đề tài tơi nghiên cứu, khảo sát thực với mục đích áp dụng kiến thức học trường môn học vi điều khiển, thiết kế hệ thống số 1, thiết kế hệ thống số Vì tơi lên ý tưởng đề tài: “Thiết kế bãi giữ xe thông minh 1” - 1.3 Đối tượng nghiên cứu Đề tài tập trung vào thiết kế xây dựng mô hình bãi giữ xe thơng minh - Tìm hiểu ngơn ngữ lập trình VHDL mơ tả phần cứng phần mềm mơ ModelSim - Thiết kế tín hiệu đầu vào (input), tín hiệu đầu (output) - Xây dựng sơ đồ khối hệ thống, lưu đồ giải thuật ( State Machine Chart ) 1.4 Phạm vi nghiên cứu THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MÔN THIẾT KẾ HỆ THỐNG SỐ Trang 7/25 Đề tài: “Thiết kế bãi giữ xe thông minh 1” mô phần mềm ModelSim sử dụng ngơn ngữ lập trình VHDL 1.5 Dự kiến kết Đề hoàn thành sau tuần từ bắt đầu nhận đề tài Mô thành công phần mềm ModelSim CHƯƠNG THIẾT KẾ VÀ THI CÔNG 1.6 Sơ đồ khối hệ thống THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MƠN THIẾT KẾ HỆ THỐNG SỐ Trang 8/25 Hình Sơ đồ khối hệ thống − Hệ thống khởi động nhấn nút BUTTON − Ở lối vào bãi xe có cảm biến( Font_Sensor) để phát xe lần có xe vào để đóng mở chắn xe − Trong trường hợp điều kiện bãi xe sẵn sàng cho việc giữ xe, chắn mở tài xế tiến hành quẹt thẻ xe tương ứng với việc cung cấp mật mã (Password) để vào bãi giữ xe − Trong trường mật mã trùng khớp với mật mã hệ thống (RIGHT PASS) xe vào bãi giữ xe − Trong trường hợp quẹt thẻ dò sai mật mã lần ( WRONG PASS), xe không vào bãi giữ xe mà theo lối thoát (EXIT LED) Lúc đèn hiệu lối sáng báo hiệu có xe − Phía ngồi cổng có đèn hiệu : Đèn xanh (GREEN LED) báo bãi xe sẵng sàng tiếp nhận xe mới, đèn đỏ (RED LED) báo bãi xe chưa sẵng sàng tiếp nhận xe 1.7 Các tín hiệu vào Độ Tên Tín Thứ Rộng Hiệu Tự (Bit) Clk Số Miêu tả Xung clock đồng hệ thống Đây THIẾT KẾ BÃI GIỮ XE THÔNG MINH NHĨM: 10 TIỂU LUẬN MƠN THIẾT KẾ HỆ THỐNG SỐ Trang 9/25 thiết kế đồng tin hiệu cần chạy theo xung clock Trong sử dung xung clock Xung reset hệ thống Reset_n : Hệ thống reset lại : Hệ thống hoạt động bình thường Cảm biến để phát xe lần có xe vào Front_senso r để đóng mở chắn xe 0: Khơng có xe lối vào bãi xe 1: Có xe lối vào bãi xe Mật mã Password Buttom 010101 : quét Mật thẻ mã Khác : Mật mã sai 1: Kích hoạt bãi xe hoạt động 0: Dừng toàn hệ thống bãi xe 1.8 Các tín hiệu Số Thứ Độ Tên Tín hiệu tự Rộng(Bit Miêu tả ) Đèo báo hiệu bãi xe sẵng sàng tiếp nhận xe GREEN_LE D : Bãi xe sẵn sàng cho xe vào : Bãi xe không sẵn sàng cho xe vào THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MÔN THIẾT KẾ HỆ THỐNG SỐ Trang 10/25 Đèo báo hiệu bãi xe chưa sẵng sàng tiếp RED_LED nhận xe : Bãi xe có xe vào : Bãi xe khơng có xe vào Đèn báo hiệu có xe lối (Exit) EXIT_LED 1 : Có xe lối : Khơng có xe lối 1.9 Thiết kế mơ hình Hình 2 Mơ hình bãi giữ xe thơng minh CHƯƠNG GIẢI THUẬT VÀ ĐIỀU KHIỂN 1.10 Lưu đồ giải thuật ( State Machine Chart) THIẾT KẾ BÃI GIỮ XE THÔNG MINH NHĨM: 10 TIỂU LUẬN MƠN THIẾT KẾ HỆ THỐNG SỐ Trang 14/25 1.12 Kết thực nghiệm Hình Kết mô Thời gian ban đầu (IDEL) − Khi chưa có xe vào, tín hiệu hiệu reset_n lên mức logic 1, button_open lên mức logic hệ thống bắt đầu hoạt động Đèn GREEN sáng báo hiệu bãi giữ xe sẵn sàng cho việc tiếp nhận xe, đèn RED tắt, đèn EXIT tắt Ở mốc thời gian (1) : − Khi có xe vào front_sensor lên mức logic kích lên lần sau xuống mức logic ( phát xe vào ) − Tiếp theo password=010101 đưa vào trùng khớp với mật hệ thống đặt Ở thời gian chờ xác nhận mật khẩu, đèn GREEN tắt, đèn RED sáng, đèn EXIT tắt − Sau mật xác nhận (RIGHT_PASS), hệ thống chuyển sang trạng thái khởi tạo ban đầu (IDLE), đèn GREEN sáng báo hiệu bãi giữ xe sẵn sàng cho việc tiếp nhận xe, đèn RED tắt, đèn EXIT tắt Ở mốc thời gian (2): − Khi có xe vào front_sensor lên mức logic kích lên lần sau xuống mức logic ( phát xe vào ) − Tiếp theo password=111111 đưa vào không trùng khớp với mật hệ thống đặt Ở thời gian chờ xác nhận mật khẩu, đèn GREEN tắt, đèn RED sáng, đèn EXIT tắt − Sau mật xác nhận sai lần (WRONG_PASS), hệ thống chuyển sang trạng thái GOEXIT, đèn GREEN tắt, đèn RED bật báo hiệu bãi xe chưa sẵn sàng cho việc tiếp nhận xe, đèn EXIT bật báo hiệu có xe ngồi bãi CHƯƠNG KẾT LUẬN 1.13 Kết luận THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MƠN THIẾT KẾ HỆ THỐNG SỐ Trang 15/25 Qua cố gắng nỗ lực nhóm, giúp đỡ tận tình giảng viên mơn bạn bè thời gian qua, nhóm hồn thành đề tài: Cụ thể đạt nội dung sau: − − − − Hệ thống mô chạy ổn định Có thể đưa xe vào lấy xe Kiểm sốt xác loại phương tiện vào Các đèn tín hiệu báo theo trạng thái 1.14 Hướng phát triển Phát triển bãi đỗ xe thông minh kết hợp xác thực qua biển số khuôn mặt − Đối với khách vãng lai + Xe vào: khách quẹt thẻ lấy thông tin xe vào (ID thẻ, thời gian, biển số, hình ảnh) liệu lưu liệu đầu vào máy chủ xử lý Barrier tự động mở cho xe vào + Xe ra: Khách quẹt thẻ lấy thông tin ra, hệ thống xác định thông tin xe vào Nếu thông tin sai hệ thống đưa cảnh báo tùy chọn xử lý Thông tin hệ thống in hóa đơn tốn cho khách, thông tin khách cập nhật vào liệu xe Barrier mở cho xe − Đối với khách cố định + Xe vào: Khách quẹt thẻ lấy thông tin xe vào Hệ thống xác định thông tin khách đăng ký sai hệ thống đưa cảnh báo tùy chọn xử lý Nếu hệ thống lưu liệu đầu vào mở cửa cho xe vào + Xe ra: Khách quẹt thẻ lấy thông tin xe ra, hệ thống xác thực với thông tin xe vào sai hệ thống đưa cảnh báo tùy chọn xử lý Thông tin hệ thống cập nhật liệu xe barrier mở cho xe THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MƠN THIẾT KẾ HỆ THỐNG SỐ Trang 16/25 TÀI LIỆU THAM KHẢO Tiếng Việt: [1] Thiết kế logic số – Học viện kỹ thuật quân Tiếng Anh: [3] Digital systems design VHDL – Charles H Roth, Jr PHỤ LỤC THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MÔN THIẾT KẾ HỆ THỐNG SỐ Trang 17/25 File code: car_parking.vhd VHDL project: VHDL code for car parking system library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity car_parking is – Định Nghĩa entity port ( clk,reset_n : in std_logic; Xung clock đồng , reset hệ thống front_sensor : in std_logic; Cảm biến để phát xe button_open : in std_logic; nút nhấn kích hoạt bãi giữ xe password : in std_logic_vector(5 downto 0); Định nghĩa mật dạng vector với độ rộng bit GREEN_LED,RED_LED,EXIT_LED : out std_logic - - Định nghĩa đèn ngõ ); end car_parking; architecture Behavioral of car_parking is - - Định nghĩa phần kiến trúc FSM States type FSM_States is (IDLE,WAIT_PASSWORD,WRONG_PASS2,WRONG_PASS3,GOEXIT,RIGHT_PA SS); - - khai báo trạng thái SM chart signal current_state,next_state: FSM_States; - - singal lưu trạng thái máy trạng thái signal counter_wait : std_logic_vector(31 downto 0); - - đ signal red_tmp, green_tmp,exit_tmp : std_logic; - - signal lưu giá trị xử lí đèn xanh , vàng đỏ signal front_sensored : std_logic; - - sinagl cạnh lên cảm biến đầu vào THIẾT KẾ BÃI GIỮ XE THƠNG MINH NHĨM: 10 TIỂU LUẬN MÔN THIẾT KẾ HỆ THỐNG SỐ Trang 18/25 signal password_1 : std_logic_vector(5 downto 0); - - signal lưu mật quẹt thẻ begin EdgeDetector_ins : entity work.EdgeDetector - - thực mạch dò xung cạnh lên port map( clk => clk, - - gán xung clock đầu vào vào xung clock module cạnh lên d => front_sensor, - - gán giá trị cảm biến vào tín hiệu kéo dài edge => front_sensored - - xung cạnh lên đầu d ); hold password process(clk) begin if rising_edge(clk) then if (front_sensored = '1') then - - thực thi cạnh lên xung clock - - cảm biến đầu vào = lưu mật quẹt thẻ password_1