1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

Thiết kế kiểm thử UART bằng Verilog trên Xilinx ISE

41 146 9

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Cấu trúc

  • A.PHẦN MỞ ĐẦU

    • 1. Lý do chọn đề tài:

    • 2. Mục đích:

    • 3. Phương pháp nghiên cứu:

  • B.NỘI DUNG

    • 1. Lý thuyết về giao thức UART:

      • 1.1 Khái niệm:

      • 1.2 Sơ đồ giao tiếp và cách thức hoạt động của UAR

      • 1.3 Các thông số cơ bản và khung truyền dữ liệu tr

    • 2. Chức năng và ứng dụng của UART:

      • 2.1 Vai trò và chức năng của chuẩn UART:

      • 2.2 Ứng dụng của UART:

    • 3. Cấu trúc phần cứng UART và thiết kế kiểm thử UA

      • 3.1. Khối tạo tốc độ baud (Baud Rate Generator):

      • 3.2 Bộ đệm FIFO (First In, First Out):

      • 3.3 Khối phát UART (UART Transmitting subsystem):

      • 3.4 Khối nhận UART (UART Receiving subsystem):

  • C.PHẦN KẾT LUẬN

  • TÀI LIỆU THAM KHẢO

Nội dung

Hệ thống truyền thông nối tiếp bất đồng bộ UARTThiết kế kiểm thử UART bằng Verilog trên Xilinx ISE Tài liệu là tiểu luận cuối kỳ môn học Thiết kế hệ thống và vi mạch tích hợp Trường đại học Sư phạm kỹ thuật TP.HCM. Tài liệu chỉ ra rõ các lý thuyết liên quan đến UART, bao gồm chuẩn truyền quy ước và nguyên lý hoạt động của các phần cứng liên quan đến UART. Cuối cùng là code Verilog thiết kế 1 hệ thống UART hoàn chỉnh.

Ngày đăng: 12/07/2021, 10:00

TỪ KHÓA LIÊN QUAN

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w