1. Trang chủ
  2. » Luận Văn - Báo Cáo

Nghiên cứu phát triển phân hệ xử lý tín hiệu trong máy thu SDR

85 33 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI Nguyễn Mạnh Linh NGHIÊN CỨU PHÁT TRIỂN PHÂN HỆ XỬ LÝ TÍN HIỆU TRONG MÁY THU SDR Chuyên ngành : Kỹ thuật điện tử viễn thông LUẬN VĂN THẠC SĨ KỸ THUẬT CHUYÊN NGÀNH ĐIỆN TỬ VIỄN THÔNG NGƯỜI HƯỚNG DẪN KHOA HỌC PGS.TS Vũ Văn Yêm Hà Nội – 2011       LỜI CAM ĐOAN Tôi xin cam đoan: Luận văn tốt nghiệp cơng trình nghiên cứu thực cá nhân tơi, thực sở tìm hiểu lý thuyết, kiến thức kinh điển nghiên cứu khảo sát hướng dẫn khoa học PGS.TS: Vũ Văn Yêm Các kết quả, số liệu, bảng biểu luận văn trung thực, lấy từ nguồn tài liệu có uy tín trích dẫn tài liệu tham khảo cuối Người viết cam đoan Nguyễn Mạnh Linh         LỜI CẢM ƠN Trong suốt học tập nghiên cứu Trường Đại học Bách Khoa Hà Nội, tơi xin tỏ lịng biết ơn đến hướng dẫn tận tình PGS.TS Vũ Văn Yêm, người thầy dành nhiều thời gian bảo, hướng dẫn giúp đỡ chi tiết, cụ thể để tơi hồn thành luận văn Tơi xin chân thành cảm ơn Thầy, cô giáo viện Điện tử Viễn thông, Viện đào tạo sau đại học trường Đại học Bách Khoa Hà Nội dạy dỗ truyền đạt kiến thức khoa học thời gian học tập nghiên cứu trường tạo điều kiện để thực tốt luận văn cao học Tôi xin cảm ơn bạn bè, đồng nghiệp gia đình ln động viên, giúp đỡ tơi suốt q trình học tập thực luận văn Nguyễn Mạnh Linh MỤC LỤC DANH MỤC TỪ VIẾT TẮT DANH MỤC HÌNH VẼ MỞ ĐẦU Chương I TÌM HIỂU CƠNG NGHỆ SOFTWARE DEFINE RADIO I Một số sơ đồ khối chức thiết bị radio (kiểu cũ) I.1 Hệ thống vô tuyến lai (Hybrid Radio Architecture) I.1.1.Sơ đồ khối chức hệ thống hybrid đơn sóng mang I.1.2 Sơ đồ khối chức hệ thống hybrid đa sóng mang I.2 Sơ đồ khối chức thiết bị sử dụng SDR II Khái niệm SDR – Software Define Radio II.1 Khái niệm SDR II.2 Sơ đồ khối chức SDR II.3 Mô hình kiến trúc SCA - Software communication Architechture 11 III Xu hướng phát triển 13 III.1 Nhận xét ưu, nhược điểm 13 III.2 Xu hướng phát triển 13 III.2.1 Hệ thống thơng tin vơ tuyến thích nghi - Adaptive Radio 14 III.2.2 Hệ thống thông tin vô tuyến nhận thức - Cognitive Radio 14 III.2.3 Hệ thống thông tin vô tuyến thông minh - Intelligent Radio 15 Chương II NGHIÊN CỨU PHÁT TRIỂN PHÂN HỆ XỬ LÝ TÍN HIỆU TRONG MÁY THU SDR 16 I Platform phát triển công nghệ SDR 16 I.1 Platform SDR phát triển sản phẩm hãng Lyrtech 16 I.1.1 Thông tin hãng Lyrtech 16 I.1.2 Sơ đồ khối chức hệ thống SFF SDR 16 I.1.3 Flow công cụ phát triển SFF SDR 22   I.1.4 Phát triển theo kiến trúc SCA -Software Communication Architecture 26 I.2 Platform SDR phát triển sản phẩm hãng Spectrum 26 I.2.1 Thông tin hãng Spectrum 26 I.2.2 Platform SDR-4000 27 II.2.4 Mơ hình SCA phát triển sản phẩm Spectrum 42 III.2 Một số tảng phát triển khác 52 Chương III PHÁT TRIỂN WAVEFORM TRONG PHÂN HỆ XỬ LÝ SDR LYTECH 55 I Phát triển wavefrom FM, AM, LSB, USB FPGA 55 I.1 Sơ đồ khối nguyên lý làm việc 55 I.2 Mơ hình phát triển thực tế 57 II Phát triển waveform xử lý, điều khiển DSP 58 II.1 Kiến trúc hệ thống DSP DM6446 58 II.2 Các khối xử lý 59 III Hoàn chỉnh sản phẩm demo 63 IV Kết luận hướng phát triển 65 IV.1 Một số kết luận rút 65 IV.2 Phát triển mở rộng tính cho wavefrom 65 IV.3 Hướng phát triển wavefrom 68 TÀI LIỆU THAM KHẢO 73 PHỤ LỤC 75   DANH MỤC TỪ VIẾT TẮT     Thuật ngữ Tiếng Anh Ý nghĩa Tiếng Việt SDR Software Define Radio Công nghệ phát triển máy vô tuyến dựa phần mềm uP microprocessor Vi xử lý DSP digital signal processor Bộ xử lý tín hiệu số chuyên dụng FPGA Field-programmable gate array GPP General purpose processor Bộ xử lý dùng cho mục đích chung SoC system on chip Hệ thống tích hợp IC chip NCO Numerically Controlled Oscillators Bộ điều khiển dao động số LNA Low-noise amplifier Bộ lọc tạp âm thấp DDC Digital Down Converters Bộ giảm tần số lấy mẫu tín hiệu DUC Digital Up Converters Bộ tăng tần số lấy mẫu tín hiệu ADC analog-to-digital converter Bộ biến đổi tín hiệu tương tự sang số DAC digital-to-analog converter Bộ biến đổi tín hiệu số sang tương tự PA power amplifier Bộ khuếch đại cơng suất IF Intermediate frequency Tín hiệu trung tần RF Radio frequency Tín hiệu cao tần JPEO Joint Program Executive Office Một tổ chức nghiên cứu công nghệ Mỹ JTRS Joint Tactical Radio System Tổ chức nghiên cứu hệ thống tác chiến điện tử SCA Software communication Kiến trúc giao tiếp phần mềm Architechture   OS Operating System Hệ điều hành IEEE Institute of Electrical and Electronics Engineers Viện kỹ nghệ Điện Điện Tử OSI Open Systems Interconnection Mơ hình phân lớp BTS Base Transceiver Station Trạm thu phát sóng API Application Programming Interface Giao diện lập trình ứng dụng DSA Dynamic Spectrum Access Truy nhập phổ tín hiệu động SFF Small Form Factor Nền tảng phát triển quy mô nhỏ hãng xuất MBDK Model-based design kits Thiết kế theo mơ hình modul VPBE video processing back end Bus chiều liệu tốc độ cao (Texas Instruments) VPFE video processing front end Bus chiều đến liệu tốc độ cao (Texas Instruments) CCS Code Composer Studio Công cụ phát triển sản phẩm Texas Instruments SPI Serial Peripheral Interface Giao tiếp ngoại vi nối tiếp SMA SubMiniature version A Chuẩn đầu connector kết nối vô tuyến VCO voltage controlled oscillators Giao động điều khiển điện áp XMC Switch Mezzanine Card Chuẩn kết nối chuyển mạch tốc độ cao PMC PCI Mezzanine Card Chuẩn khe cắm kết nối tốc độ cao EMIF External Memory Interface Giao diện nhớ mở rộng     GPIO General Purpose Input/Output Chần ngoại vi vào/ra mục đích chung BGAN Broadband Global Area Network Hệ thống mạng tồn cầu UART Universal asynchronous receiver/transmitter Chuẩn giao tiếp khơng đồng PWM Pulse-width modulation Điều chế độ rộng xung CVSD Continuously Variable Slope Delta-modulation Chuẩn nén tín hiệu thoại hiệu thơng dụng MELP (Mixed-Excitation Linear Predictive Chuẩn nén tín hiệu thoại hiệu suất cao AES Advanced Encryption Standard Chuẩn mã mật tín hiệu nâng cao FM Frequency modulation Phương thức điều chế tín hiệu theo tần số AM Amplitude modulation Phương thức điều chế tín hiệu theo biên độ USB Up Side Band Phương thức điều chế tín hiệu theo đơn biên LSB Lower Side Band Phương thức điều chế tín hiệu theo đơn biên DANH MỤC HÌNH VẼ   Hình Sơ đồ hệ thống hybrid đơn sóng mang Hình Hệ thống hybrid đa sóng mang Hình Sơ đồ khối chức kiến trúc SDR Hình Quá trình chuyển tín hiệu cao tần Hình Mơ hình khối chức kiến trúc SDR .7 Hình Sơ đồ khối máy thu SDR .9 Hình Sơ đồ khối máy phát SDR 10 Hình Mơ hình phân lớp phần cứng phần mềm kiến trúc SDR .11 Hình Cấu trúc tổng quát mơ hình SCA 12 Hình 10 Một số khái niệm xu hướng phát triển SDR 14 Hình 11 Sơ đồ khối chức hệ thống SFF SDR 16 Hình 12 Sơ đồ khối chức hệ thống RF front-end 17 Hình 13 Sơ đồ khối chức Low-band RF SFF 17 Hình 14 Sơ đồ khối chức module Data conversion 18 Hình 15 Sơ đồ khối chức module Digital processing 20 Hình 16 Sơ đồ Flow phát triển SFF SDR 22 Hình 17 Sơ đồ kiến trúc SCA 26 Hình 18 Mơ hình tổng qt SDR .27 Hình 19 Mơ hình SDR-4000 28 Hình 20 Board transceiver XMC-3321 28 Hình 21 Board Processing Pro-4600 29 Hình 22 Quan hệ XMC-3321 với Pro-4600 30 Hình 23 Sơ đồ khối Layout XMC-3321 .32 Hình 24 Sơ đồ cấu tạo, chức thành phần module PRO-4600 38 Hình 25 Sơ đồ thành phần module MPC8541 PowerPC processor 39 Hình 26 Sơ đồ Turing Fabric FPGA 40 Hình 27 Sơ đồ Tesla User FPGA .41   Hình 28 Sơ đồ liên kiết DSP TMS320C64 41 Hình 29 Mơ hình SCA phát triển sản phẩm Spectrum 44 Hình 30 Mơ hình mơ tả bước xử lý waveform SCA 45 Hình 31 Cơ chế hoạt động SCA platform Spectrum .48 Hình 32 Các thành phần SCA .49 Hình 33 Mối quan hệ thành phần SCA 50 Hình 34 Mối quan hệ thành phần SCA Spectrum 50 Hình 35 Mơ tả trao đổi GPP DSP, FPGA thơng qua CORBA 51 Hình 36 Các thành phần hệ thống SDR Spectrum 52 Hình 37 Cấu trúc GNU Radio 53 Hình 38 Board USRP .54 Hình 39: Sơ đồ khối, nguyên lý làm việc phần phát .55 Hình 40: Sơ đồ khối, nguyên lý làm việc phần thu 55 Hình 41: Mơ hình phát triển platform Lyrtech 57 Hình 42: Kiến trúc hệ thống DSP DM6446 .58 Hình 43: Đồ luồng xử lý Task_MainUI 60 Hình 44: Đồ luồng xử lý Task_AudioToRadioProcessing .61 Hình 45: Đồ luồng xử lý Task_AudioFromRadioProcessing 62 Hình 46: Đồ luồng xử lý Task_AudioFromRadioProcessing (tiếp) 63 Hình 47 Thiết bị thơng tin chạy waveform FM, DSB, LSB, USB giao diện người sử dụng 64 Hình 48 Mạch xử lý tín hiệu số máy 64 Hình 49 Mơ hình xử lý liệu phía phát 67 Hình 50 Mơ hình xử lý liệu phía thu 67 Hình 51 Mơ hình waveform DSP/Microblaze .68 Hình 52 Xử lý DSP 69 Hình 53 Xử lý phần RF 70 Hình 54 So sánh mã số PN .71 Hình 55 Tính tốn đo chức tương quan tự động 72   Chức năng, nhiệm vụ: ƒ Quản lý giao diện người sử dụng, cho phép cấu hình chế độ, tần số, ngưỡng squelch,âm lượng, số H/s , ngưỡng biên độ tín hiệu F1, F2, ngưỡng ƒ Đọc ghi cấu hình kênh vào nhớ ƒ Cấu hình lại hệ thống có thay đổi tác động người dùng ƒ Điều khiển DAC, ADC Data Conversion module ƒ Điều khiển RF front-end ƒ Điều khiển ghi (chế độ hoạt động, tần số, ngưỡng squelch, âm lượng ) FPGA II.2.2 Task_AudioToRadioProcessing Một Task thực phân tích xử lý liệu AudioToRadioProcessing, Task đống vai trò xử lý liệu từ mic, nén thoại, chèn mã sửa sai, mã mật trước đẩy liệu tới FPGA phát ngồi mơi trường, sơ đồ luồng xử lý mơ tả hình vẽ Hình 44: Đồ luồng xử lý Task_AudioToRadioProcessing   61 Chức năng, nhiệm vụ: ƒ Đọc tín hiệu audio từ chip audio pcm3008 ƒ Lọc tín hiệu audio gửi lên FPGA qua bus VPBE ƒ Trong chế độ DEBUG, thực trình gửi xung kiểm tra F1, F2 ƒ Đóng vai trị khởi tạo đồng thiết bị hoạt động chế độ đồng ƒ Thực số xử lý liệu như: nén thoại, chèn mã sửa sai, mã mật … II.2.3 Task_AudioFromRadioProcessing Đây Task quan trọng khó thực nhất, tương ứng với phần thu máy radio Sơ đồ luồng xử lý nhiệm vụ mơ tả bên dưới: Hình 45: Đồ luồng xử lý Task_AudioFromRadioProcessing   62 Hình 46: Đồ luồng xử lý Task_AudioFromRadioProcessing (tiếp) Chức năng, nhiệm vụ: ƒ Đọc liệu gửi lên từ FPGA thông qua bus VPFE, thực q trình lọc liệu (bộ lọc thơng thấp 4K) đưa tín hiệu đường audio ƒ Trong chế độ DEBUG, thực việc phân tích tín hiệu thuật tốn Goertzel, tính tốn lượng tín hiệu cập nhập lên hình hiển thị ƒ Trong chế độ nhảy tần, thực việc phân tích đồng tín hiệu nhảy tần III Hồn chỉnh sản phẩm demo Với việc phát triển wave form phân hệ xử lý (DSP FPGA) sau biên dịch waveform, tiến hành nạp vào mạch test chạy thực tế Hình vẽ   63 thiết bị thơng tin với tính thực tương ứng với waveform nạp Hình vẽ miêu tả thiết bị thực tế Hình 47 Thiết bị thông tin chạy waveform FM, DSB, LSB, USB giao diện người sử dụng Hình 48 Mạch xử lý tín hiệu số máy   64 IV Kết luận hướng phát triển IV.1 Một số kết luận rút Có thể nói thời đại bùng nổ thông tin, người giao tiếp qua thơng tin thoại mà cịn cần tới giao tiếp thông tin theo liệu, video, điều khiển v v, giao thức truyền thông tin thay đổi nhanh theo phát triển công nghệ nhu cầu người Vì yêu cầu sản xuất thiết bị radio có khả nâng cấp, cập nhật cách dễ dàng không tốn yêu cầu cấp thiết Kĩ thuật SDR - mang lại mềm dẻo, nâng cấp dễ dàng, nhanh chóng, chi phí thấp công nghệ chủ chốt phát triển thiết bị vô tuyến đại Luận văn đưa nhìn đọng đầy đủ chi tiết phân hệ xử lý máy thu SDR Với việc phát triển waveform mẫu, giúp cho người đọc dễ dàng hình dung cách chi tiết bước thực phát triển ứng dụng theo công nghệ SDR Từ hình thành phương thức trình nghiên cứu, học tập phát triển sản phẩm thực tế Tôi tin tảng công nghệ SDR trở nên hữu ích lĩnh vực nghiên cứu phát triển, lĩnh vực sản xuất thiết bị, hệ thông vô tuyến giới Dưới đề xuất hướng phát triển ứng dụng SDR IV.2 Phát triển mở rộng tính cho wavefrom Trên mơ tả bước thực waveform bao gồm phương thức điều chế FM, DSB, LSB, USB tính khác Thiết bị nạp waveform có chức như: thoại theo phương thức điều chế FM, DSB, LSB, USB Tính nhớ kênh, chọn kênh, chọn chế độ hoạt động, tính triệt rào, giao diện hiển thị LCD, nhập bàn phím Hướng phát triển waveform bổ sung thêm thoại số truyền liệu Trước tiên cần thực phương thức truyền số liệu 2-FSK Thực phương thức chip DSP, liệu nhị phân ánh xạ tương   65 ứng thành mẫu có giá trị -4000 +4000 sau nạp lại lần tryền tới khối điều chế FM trước phát không gian, bên thu thực ngược lại, tín hiệu sau qua khối giải điều chế FM dựa vào mức để xác định bít liệu hay Việc đồng liệu bên thu thực thông qua việc detect mã walsh mà bên phát gửi trước gửi liệu đồng thời sử dụng thuật toán early-late Sau thực phương thức truyền số liệu 2-FSK, tiến hành thực module: nén thoại, chèn mã sửa sai, chèn mã bảo mật Cụ thể sau: ™ Nén thoại sử dụng: • Phương pháp nén mã thoại CVSD - Continuously Variable Slope Dela Modulation thuật tốn lượng tử hóa vi sai thích nghi, với tốc độ 16Kps • Phương pháp nén mã thoại MELP - Mixed Excitation Linear Prediction với tốc độ 2,4Kps 1,2Kps MELP hay dùng nhiều truyền thông bảo mật, hệ thống radio bảo mật tín hiệu vệ tinh ™ Mã sửa sai sử dụng: Kết hợp nối tầng mã Reed Solomon Viterbi để sửa nhiều lỗi (mã Reed Solomon dùng để sửa lỗi chùm, mã Viterbi dùng để sửa lỗi ngẫu nhiên) ™ Mã bảo mật sử dụng: Thuật tốn AES (Advanced Encryption Standard) với độ dài khóa 128bit Hình vẽ mô tả luồng xử lý liệu baseband phía thu phía phát   66   Hình 49 Mơ hình xử lý liệu phía phát   Hình 50 Mơ hình xử lý liệu phía thu   67   Hình 51 Mơ hình waveform DSP/Microblaze IV.3 Hướng phát triển wavefrom Với tảng phát triển sử dụng công nghệ SDR, rút ngắt thời gian phát triển waveform, phát triển sản phẩm Đồng thời công cụ nghiên cứu phát triển ứng dụng hệ thống thông tin vô tuyến trường học viện nghiên cứu Theo tài liệu hỗ trợ tảng, nhà nghiên cứu phát triển waveform phức tạp wavefrom MIMO flexOFDM, wavefrom Femto Bts …   68 Dưới mơ hình việc khai thác phân hệ xử lý để phát triển waveform Rada Mơ hình mơ hệ thống Radar: Hình 52 Xử lý DSP   69 Hình 53 Xử lý phần RF Mơ hình mơ hệ thống Radar tảng phát triển SDR hãng Lyrtech, hình mơ tả phần xử lý IF bao gồm khối block xử lý DSP, hình mô tả phần xử lý RF tương bao gồm xử lý FPGA module RF Front-end Phương pháp tính khoảng cách: Khoảng cách từ vị trí đặt Radar nhắm tới mục tiêu cần đo tính từ thời gian truyền sóng tới sóng phản hồi, sử dụng công thức sau đây: R = C.Td /2 (1) • R: khoảng cách cần đo • C: Tốc độ ánh sáng • Td: khoảng thời gian truyền sóng tới sóng phản hồi trở lại Như vậy, để tính tốn khoảng cách, trước hết cần thiết xác định Td Trong hệ thống radar, kỹ thuật trải phổ áp dụng cho xử lý tín hiệu radar Vì   70 vậy, thời gian truyền phản hồi sóng ( tức Td ) đánh giá so sánh tương quan mã PN nhận mã PN dùng cho tham chiếu mã PN, q trình dịch chuyển mã PN, biểu diễn hình 52 đây: Hình 54 So sánh mã số PN Trong hình đoạng a0, a1, a2, a3 … mã PN (PN code) Hàm tự động so sánh tương quan mã PN mô tả theo công thức (2) Trong đó: • a(t): mã PN • n: chiều dài mã PN Phát mục tiêu thực đặc tính tương quan mã PN, hình Trong hình 4, n chiều dài mã PN, trường hợp hệ thống radar n = 1023   71 Hình 55 Tính toán đo chức tương quan tự động Tại giai đoạn có giá trị khác khơng, tương quan mục tiêu mong muốn phát giai đoạn có giá trị khác nhiều chip, mối tương quan thị giá trị âm nghĩa thấy nhiễu hay khu vực đo bị xáo trộn Tại giai đoạn có giá trị nằm khoảng -1 1, tương quan đặc tính hình tam giác hình vẽ Nói cách khác, mục tiêu tồn radar nhận sóng phản hồi trở lại giai đoạn có giá trị mã PN phản hồi tương ứng xác định khoảng cách tới mục tiêu, mối tương quan OdB (bình thường), giai đoạn tương quan khác 40dB ( = -20log101023 ) Mơ hình phát triển Rada tham khảo theo báo : “Spread Spectrum Techniques and Applications, model-based radar systems base-on SDR platform”   72 TÀI LIỆU THAM KHẢO   Vũ Văn Yêm, Nguyễn Văn Đức, Đào Ngọc Chiến, Nguyễn Quốc Khương, Nguyễn Trung Kiên (2006), Bộ sách Kỹ thuật thông tin số, NXB Khoa học kỹ thuật, 70 Trần Hưng Đạo Hà Nội Việt Nam Nguyễn Hữu Trung (2010), Kỹ thuật trải phổ truyền dẫn đa sóng mang, NXS Khoa học kỹ thuật, 70 Trần Hưng Đạo Hà Nội Việt Nam Paul Burns (2003), Software Defined Radio for 3G, ARTECH HOUSE INC, 685 Canton Street, Norwood, MA 02062 USA, pp 22-152 Walter Tuttlebee (2002), Software Defined Radio Enabling Technologies John Wiley & Sons Ltd, Baffins Lane, Chichester, West Sussex PO19 1UD, England, pp 154 – 260 Lyrtech Incorporated,  (2009), SFF SDR development platform, Lyrtech Incorporated, 2800 Louis-Lumière Street, Quebec City, CANADA, pp 1-9 Lyrtech Incorporated,  (2010), Small Form Factor SDR Evaluation Module, Lyrtech Incorporated, 2800 Louis-Lumière Street, Quebec City, CANADA, pp 1-190 Lyrtech Incorporated,  (2009), Model-based Design Guide, Lyrtech Incorporated, 2800 Louis-Lumière Street, Quebec City, CANADA, pp 1-52 Lyrtech Incorporated,  (2009), Model-based design tutorial, Lyrtech Incorporated, 2800 Louis-Lumière Street, Quebec City, CANADA, pp 1-46 Spectrum Signal Processing by Vecima, (2010), PRO-4600 3U Modem Card, Spectrum Signal Processing by Vecima, #300-2700 Production, Burnaby, BC, CANADA, pp 1-26 10 Spectrum Signal Processing by Vecima, (2007), PRO-4600 3U CompactPCI Processing Engine User Guide, Spectrum Signal Processing by Vecima, #300-2700 Production, Burnaby, BC, CANADA, pp 10-256 11 Spectrum Signal Processing by Vecima, (2007), XMC-3321 XMC Transceiver Module User Guide, Spectrum Signal Processing by Vecima, #300-2700 Production, Burnaby, BC, CANADA, pp 21-340   73 12 Spectrum Signal Processing by Vecima, (2007), SDR-4000 INTEGRITY Software Defined Radio Upgrade Notes, Spectrum Signal Processing by Vecima, #300-2700 Production, Burnaby, BC, CANADA, pp 1-30 13 Ettus Research LLC, (2010), THE USRP 2, Ettus Research LLC, 1043 North Shoreline Blvd., Suite 100 Mountain View, CANADA 14 Wirelessinnovation, (2010), Defining_CR_and_DSA, Wirelessinnovation, Headquarters, 18631 N 19th Avenue, Suite 158-436, Phoenix, AZ 85027-5800, USA   74 PHỤ LỤC Cấu trúc mã nguồn DSP STT   Tên file Ý nghĩa DSPFileSys.c Cấu hình hệ thống DSP DSPFileSys.h Cấu hình hệ thống DSP FreqHopping.h Hàm chức xử lý nhảy tần Keydriver.c Điều khiển bàn phím Keydriver.h Điều khiển bàn phím Main.c Hàm xử lý SDRapp_display.c Điều khiển hiển thị SDRapp_filters.c Hàm chức xử lý lọc số SDRapp_filters.h Hàm chức xử lý lọc số 10 SDRapp_HAL.c Hàm chức xử lý hỗ trợ 11 SDRapp_HAL.h Hàm chức xử lý hỗ trợ 12 SDRapp_key.c Điều khiển bàn phím chức 13 SDRapp_Radio.c Điều khiển mạch phần cứng vô tuyến 14 SPLC780driver.c Điều khiển LCD 15 SPLC780driver.h Điều khiển LCD 16 ToneDetect.c Hàm xác định đồng nhảy tần 17 ToneDetect.h Hàm xác định đồng nhảy tần 75 ... phần trình bày tảng phát triển cơng nghệ SDR cụ thể hãng lớn giới   15 Chương II NGHIÊN CỨU PHÁT TRIỂN PHÂN HỆ XỬ LÝ TÍN HIỆU TRONG MÁY THU SDR   Phần đây, mô tả tảng phát triển SDR hãng Lyrtech,... III.2.3 Hệ thống thông tin vô tuyến thông minh - Intelligent Radio 15 Chương II NGHIÊN CỨU PHÁT TRIỂN PHÂN HỆ XỬ LÝ TÍN HIỆU TRONG MÁY THU SDR 16 I Platform phát triển công nghệ SDR ... trì hệ thống Trong hầu hết trường hợp vậy, hệ thống truyền nhận kết hợp vào thiết bị Thơng thường phận xử lý tín hiệu băng gốc bao gồm card xử lý tín hiệu Với tín hiệu RF dải rộng, hệ thơng xử lý

Ngày đăng: 28/02/2021, 08:15

TỪ KHÓA LIÊN QUAN

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w