1. Trang chủ
  2. » Luận Văn - Báo Cáo

Thiết kế ip core fft 1536 điểm ứng dụng trong mạng di động 3gpp lte dùng fpga

88 46 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Cấu trúc

  • bia FFT 1536.pdf

  • Tong quan-muc luc.pdf

    • NHIỆM VỤ LUẬN VĂN THẠC SĨ

    • CÁN BỘ HƯỚNG DẪN CHỦ NHIỆM BỘ MÔN

    • 1. Giới thiệu mạng di động 3G LTE

    • 2. Mục tiêu của đề tài

    • 3. Tổ chức luận văn:

  • Chuong 1- LT va cac kien truc phan cung tinh FFT.pdf

    • CHƯƠNG 1: LÝ THUYẾT VÀ KIẾN TRÚC PHẦN CỨNG TÍNH FFT – ỨNG DỤNG FFT TRONG MẠNG DI ĐỘNG 3G LTE

      • 1. Biến đổi FFT (Fast Fourier Transform)

        • 1.1. Giới thiệu phép biến đổi Fourier

        • 1.2. Biến đổi Fourier liên tục

        • 1.3. Biến đổi Fourier rời rạc (DFT-FFT)

          • 1.3.1. Tách chuỗi trong miền thời gian (DIT) và tách chuỗi trong miền tần số (DFT)

      • 2. Các cấu trúc butterfly

        • 2.1. Radix 2

        • 2.2. Radix 22

        • 2.3. Radix 4

        • 2.4. Split Radix

      • 3. Xây dựng các cấu trúc radix bậc cao hơn

      • 4. Gấp đường dữ liệu (thực hiện pipeline)

        • 4.1. Gấp theo chiều ngang

        • 4.2. Gấp theo chiều dọc

      • 5. Các kiến trúc phần cứng tính FFT

        • 5.1. Các tiêu chuẩn thực hiện:

          • 1. Thông lượng (Throughput):

          • 2. Mức độ có ích (Utilisation):

          • 3. Thông lượng bộ nhớ (Memory):

        • 5.2. Các tiêu chuẩn tài nguyên:

          • 1. Bộ nhớ:

          • 2. Bộ nhân:

          • 3. Bộ cộng:

        • 5.3. Các kiến trúc Streaming

          • 5.3.1. Radix-K Multipath delay Commutator (RxMDC)

            • 5.3.1.1. Radix 2

            • 5.3.1.2. Radix 4

          • 5.3.2. Single Path Delay Feedback

            • 5.3.2.1. Radix 2 (R2SDF)

            • 5.3.2.2. Radix 22 (R22SDF)

            • 5.3.2.3. Radix-23 (R23SDF)

        • 5.4. Các kiến trúc Buffered Burst và Burst

          • 5.4.3. Gấp toàn phần kết hợp với Single delay feedback

          • 5.4.4. Gấp ngang một phần kết hợp với Single delay feedback

          • 5.4.5. Gấp dọc một phần kết hợp với Single delay feedback

      • 6. Ứng dụng FFT trong mạng di động 3G LTE

      • Hình 1.33a: Phổ của một sóng mang con

      • Hình 1.33b: Phổ tín hiệu OFDM

  • Chuong 2- So dau cham dong 32bit.pdf

    • CHƯƠNG 2: LÝ THUYẾT SỐ DẤU CHẤM ĐỘNG 32 BIT

      • 1. Khái quát về số dấu chấm động 32 bit theo tiêu chuẩn IEEE-754

        • 1.1. Dạng số dấu chấm động 32 bit

        • 1.2. Các ví dụ về cách biểu diễn số dấu chấm động nhị phân 32 bit:

      • 2. Giải thuật tính toán trên số dấu chấm động nhị phân 32 bit

        • 2.1. Ý tưởng thiết kế giải thuật

        • 2.2. Giải thuật cộng hai số dấu chấm động nhị phân 32 bit

        • 2.3. Giải thuật trừ hai số dấu chấm động nhị phân 32 bit

        • 2.4. Giải thuật nhân hai số dấu chấm động nhị phân 32 bit

        • 2.5. Giải thuật chia hai số dấu chấm động nhị phân 32 bit

  • chuong 3-Gioi thieu cong nghe FPGA va ngon ngu VHDL.pdf

    • CHƯƠNG 3: GIỚI THIỆU CÔNG NGHỆ FPGA VÀ NGÔN NGỮ VHDL

      • 1. Giới thiệu FPGA

        • Quá trình cài đặt

      • 2. Ngôn ngữ VHDL

        • 2.1. Giới thiệu VHDL

        • 2.2. Thiết kế VHDL

        • 2.3. Thư viện

        • 2.4. Pakage

        • 2.5. Entity

        • 2.6. Achitecture

        • 2.7. Configuration

        • 2.8. Các đặc tính của VHDL

  • Chuong 4-Thuc hien FFT 1536 diem.pdf

    • CHƯƠNG 4: THỰC HIỆN FFT 1536 ĐIỂM

      • 1. Phân tích giải thuật

      • 2. Sơ đồ mạch FFT 1536 điểm

        • 2.1. Khối sắp xếp ngõ vào

        • 2.2. Khối tính FFT 512 điểm

        • 2.3. Khối Reorder (Bit-reversed)

        • 2.4. Rom chứa hệ số góc xoay (Twiddle ROM)

        • 2.5. Khối Radix 3

      • 3. Chương trình VHDL

  • Chuong 5-So sanh danh gia ket qua.pdf

    • CHƯƠNG 5: MÔ PHỎNG VÀ ĐÁNH GIÁ KẾT QUẢ

      • 1. Mô phỏng

        • 1.1. Mô phỏng chức năng các thành phần tính toán cơ bản dựa trên dấu chấm động

          • 1.1.1. Bộ cộng 2 số dấu chấm động

          • 1.1.2. Bộ trừ 2 số dấu chấm động

          • 1.1.3. Bộ nhân 2 số thực dấu chấm động

          • 1.1.4. Bộ nhân 2 số phức dấu chấm động

        • 1.2. Mô phỏng chức năng khối FFT 1536 điểm

        • 1.3. Sử dụng tài nguyên

      • 2. Nhận xét

      • 3. Kết luận

      • 4. Hướng phát triển đề tài

  • tailieutk.pdf

    • Tài liệu tham khảo

  • Phu luc-Code chuong trinh.pdf

    • PHỤ LỤC: CODE CHƯƠNG TRÌNH

Nội dung

Đại Học Quốc Gia Tp Hồ Chí Minh TRƯỜNG ĐẠI HỌC BÁCH KHOA - LÊ KHÁNH DƯƠNG THIẾT KẾ IP CORE FFT 1536 ĐIỂM ỨNG DỤNG TRONG MẠNG DI ĐỘNG 3GPP LTE DÙNG FPGA Chuyên ngành: KỸ THUẬT ĐIỆN TỬ LUẬN VĂN THẠC SĨ TP HỒ CHÍ MINH, tháng năm 2009 ĐẠI HỌC QUỐC GIA TP HCM TRƯỜNG ĐẠI HỌC BÁCH KHOA CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc Lập - Tự Do - Hạnh Phúc -oOo - Tp HCM, ngày tháng năm 2009 NHIỆM VỤ LUẬN VĂN THẠC SĨ Họ tên học viên: LÊ KHÁNH DƯƠNG Ngày, tháng, năm sinh: 03/08/1982 Chuyên ngành: KỸ THUẬT ĐIỆN TỬ Mã số ngành: 605270 MSHV: 01407333 Khố (Năm trúng tuyển): 2007 Giới tính: Nam Nơi sinh: Quảng Ngãi 1- TÊN ĐỀ TÀI: Thiết kế IP Core FFT 1536 điểm ứng dụng mạng di động 3G LTE dùng FPGA 2- NHIỆM VỤ LUẬN VĂN: • Tìm hiểu sở lý thuyết phép biến đổi Fourier liên tục Fourier rời rạc(DFTFFT) • Thiết kế khối tính FFT 1536 điểm dùng ngơn ngữ VHDL • Chạy mơ dùng Modelsim đánh giá kết dựa kết tính Matlab • Thi hành KIT DE2 Altera 3- NGÀY GIAO NHIỆM VỤ: 02/02/2009 4- NGÀY HOÀN THÀNH NHIỆM VỤ: 06/7/2009 5- HỌ VÀ TÊN CÁN BỘ HƯỚNG DẪN: TS Hà Hoàng Kha – Ths Hồ Trung Mỹ Nội dung đề cương Luận văn thạc sĩ Hội Đồng Chuyên Ngành thông qua CÁN BỘ HƯỚNG DẪN (Họ tên chữ ký) CHỦ NHIỆM BỘ MÔN QUẢN LÝ CHUYÊN NGÀNH (Họ tên chữ ký) i LỜI CẢM ƠN Đề tài “Thiết kế IP core FFT 1536 điểm ứng dụng mạng di động 3G LTE dùng FPGA” thực với hướng dẫn giúp đỡ tận tình TS Hà Hoàng Kha Ths Hồ Trung Mỹ Xin ghi nhớ lòng biết ơn sâu sắc gửi lời cảm ơn chân tình đến thầy Xin gửi lời cảm ơn chân thành đến Thầy Cô Giáo giảng dạy chương trình Cao học ngành Kỹ Thuật Điện Tử trường Đại Học Bách Khoa Tp.Hồ Chí Minh cung cấp nhiều kiến thức quý báu tạo điều kiện thuận lợi cho em hồn thành khóa học Xin chân thành cảm ơn bạn học viên cao học khóa 2007 ngành Kỹ Thuật Điện Tử trường Đại Học Bách Khoa Tp.Hồ Chí Minh nhiệt tình giúp đỡ trao dồi kiến thức trình học tập Cuối xin gửi đến gia đình, bạn bè đồng nghiệp lời cảm ơn chân thành tạo điều kiện thuận lợi giúp đỡ vật chất lẫn tinh thần trình học tập thực luận văn Tp Hồ Chí Minh, ngày 03 tháng năm 2009 LÊ KHÁNH DƯƠNG ii TỔNG QUAN Giới thiệu mạng di động 3G LTE Hệ thống 3G LTE, bước cần hướng tới hệ thống mạng không dây 3G dựa công nghệ di động GSM/UMTS, công nghệ tiềm cho truyền thông 4G Liên minh Viễn thông Quốc tế (ITU) định nghĩa truyền thông di động hệ thứ IMT Advanced chia thành hai hệ thống dùng cho di động tốc độ cao di động tốc độ thấp 3G LTE hệ thống dùng cho di động tốc độ cao Ngồi ra, cịn cơng nghệ hệ thống tích hợp giới ứng dụng chuẩn 3G LTE chuẩn dịch vụ ứng dụng khác, người dùng dễ dàng thực gọi truyền liệu mạng LTE mạng GSM/GPRS UMTS dựa WCDMA 3G LTE có khả cấp phát phổ tần linh động hỗ trợ dịch vụ đa phương tiện với tốc độ 100Mb/s di chuyển tốc độ 3km/h, đạt 30Mb/s di chuyển tốc độ khoảng 120km/h Tốc độ nhanh gấp lần so với tốc độ truyền liệu cơng nghệ HSDPA (truy nhập gói liệu tốc độ cao) Do công nghệ cho phép sử dụng dịch vụ đa phương tiện tốc độ cao di chuyển tốc độ nên hỗ trợ sử dụng dịch vụ nội dung có dung lượng lớn với độ phân giải cao điện thoại di động, máy tính bỏ túi PDA, điện thoại thông minh Ưu điểm bật: - Dung lượng truyền kênh đường xuống đạt 100 Mbps kênh đường lên đạt 50 Mbps - Tăng tốc độ truyền người sử dụng mặt phẳng điều khiển - Sẽ khơng cịn chuyển mạch kênh Tất dựa IP VoIP dùng cho dich vụ thoại iii - Kiến trúc mạng đơn giản so với mạng 3G thời Tuy nhiên mạng 3G LTE tích hợp cách dễ dàng với mạng 3G 2G Điều quan trọng cho nhà cung cấp mạng triển khai 3G LTE khơng cần thay đổi tồn sở hạ tầng mạng có - OFDMA MIMO sử dụng 3G LTE thay CDMA 3G Mục tiêu đề tài Như đề cập phần trước, hệ thống 3G LTE cần phải hỗ trợ băng thông truyền thay đổi, bao gồm 1.25 MHz, 2.5 MHz, MHz, 10 MHz, 15 MHz 20 MHz Các băng thông tương ứng với biến đổi FFT kích thước 128, 256, 512, 1024, 1536, 2048 điểm Với FFT 128, 256, 512, 1024 2048 điểm, lũy thừa 4, có nhiều đề tài thực chúng lập trình số điểm tính FFT với số điểm N=2r Đề tài nghiên cứu thực FFT 1536 (512 x 3) điểm core FPGA độc lập cho băng thông 15MHz hệ thống LTE Điểm khác biệt so với FFT số điểm (chiều dài) N có thừa số 3, ta cần thiết kế khối radix để tổng hợp FFT 1536 điểm từ chuỗi output FFT 512 Tổ chức luận văn: Luận văn bao gồm chương phụ lục: ¾ Chương 1: Trình bày lý thuyết FFT, kiến trúc phần cứng FFT khái quát ứng dụng FFT hệ thống mạng 3G LTE ¾ Chương 2: Trình bày lý thuyết số dấu chấm động giải thuật cộng/trừ/nhân/chia số dấu chấm động ¾ Chương 3: Giới thiệu công nghệ FPGA ngôn ngữ VHDL ¾ Chương 4: Trình bày chi tiết sơ đồ, giải thuật, giản đồ xung code khối khối FFT 1536 điểm hồn chỉnh iv ¾ Chương 5: So sánh đánh giá kết mô dùng Modelsim với kết tính Matlab Hướng phát triển đề tài ¾ Phụ lục: Code chương trình v MỤC LỤC Tổng quan iii Mục lục vi Danh mục từ viết tắt x Lý lịch khoa học xi CHƯƠNG 1: LÝ THUYẾT BIẾN ĐỔI FFT 1 Biến đổi FFT (Fast Fourier Transform) .1 1.1 Giới thiệu phép biến đổi Fourier 1.2 Biến đổi Fourier liên tục 1.3 Biến đổi Fourier rời rạc (DFT-FFT) 1.3.1 Tách chuỗi miền thời gian (DIT) tách chuỗi miền tần số (DFT) .6 Các cấu trúc butterfly 2.1 Radix 2.2 Radix 22 .9 2.3 Radix .12 2.4 Split Radix 13 Xây dựng cấu trúc radix bậc cao .14 Gấp đường liệu (thực pipeline) 16 4.1 Gấp theo chiều ngang .17 4.2 Gấp theo chiều dọc 17 Các kiến trúc phần cứng tính FFT 18 5.1 Các tiêu chuẩn thực hiện: 19 5.2 Các tiêu chuẩn tài nguyên: 19 5.3 Các kiến trúc Streaming .20 5.3.1 Radix-K Multipath delay Commutator (RxMDC) 20 5.3.2 Single Path Delay Feedback .21 5.4 Các kiến trúc Buffered Burst Burst .25 vi 5.4.3 Gấp toàn phần kết hợp với Single delay feedback 25 5.4.4 Gấp ngang phần kết hợp với Single delay feedback 26 5.4.5 Gấp dọc phần kết hợp với Single delay feedback 27 Ứng dụng FFT mạng di động 3G LTE 28 CHƯƠNG 2: LÝ THUYẾT SỐ DẤU CHẤM ĐỘNG 32 BIT 31 Khái quát số dấu chấm động 32 bit theo tiêu chuẩn IEEE-754 31 1.1 Dạng số dấu chấm động 32 bit 31 1.2 Các ví dụ cách biểu diễn số dấu chấm động nhị phân 32 bit: .32 Giải thuật tính tốn số dấu chấm động nhị phân 32 bit 33 2.1 Ý tưởng thiết kế giải thuật 33 2.2 Giải thuật cộng hai số dấu chấm động nhị phân 32 bit 34 2.3 Giải thuật trừ hai số dấu chấm động nhị phân 32 bit 36 2.4 Giải thuật nhân hai số dấu chấm động nhị phân 32 bit 38 2.5 Giải thuật chia hai số dấu chấm động nhị phân 32 bit .39 CHƯƠNG 3: GIỚI THIỆU CÔNG NGHỆ FPGA VÀ NGÔN NGỮ VHDL 42 Giới thiệu FPGA .42 Quá trình cài đặt 43 Ngôn ngữ VHDL 44 2.1 Giới thiệu VHDL .44 2.2 Thiết kế VHDL 45 2.3 Thư viện .46 2.4 Pakage 46 2.5 Entity 47 2.6 Achitecture .47 2.7 Configuration .48 2.8 Các đặc tính VHDL 49 CHƯƠNG 4: THỰC HIỆN FFT 1536 ĐIỂM .51 Phân tích giải thuật 51 vii Sơ đồ mạch FFT 1536 điểm 53 2.1 Khối xếp ngõ vào .53 2.2 Khối tính FFT 512 điểm 55 2.3 Khối Reorder (Bit-reversed) 60 2.4 Rom chứa hệ số góc xoay (Twiddle ROM) .63 2.5 Khối Radix 63 Chương trình VHDL 64 CHƯƠNG 5: MÔ PHỎNG VÀ ĐÁNH GIÁ KẾT QUẢ .65 Mô 65 1.1 Mô chức thành phần tính tốn dựa dấu chấm động 65 1.1.1 Bộ cộng số dấu chấm động 65 1.1.2 Bộ trừ số dấu chấm động 66 1.1.3 Bộ nhân số thực dấu chấm động 67 1.1.4 Bộ nhân số phức dấu chấm động 68 1.2 Mô chức khối FFT 1536 điểm 68 1.3 Sử dụng tài nguyên 71 Nhận xét 72 Kết luận 73 Hướng phát triển đề tài 73 PHỤ LỤC: Code chương trình 75 viii DANH MỤC TỪ VIẾT TẮT 3G LTE GPP Long Term Evolution BF Butterfly CDMA Code Division Multiplexing Access DIF Decimation In Frequency DIT Decimation In Time DFT Discrete Fourier Transform FFT Fast Fourier Transform FPGA Field-Programmable Gate Array GPRS Genral Package Radio Service GSM Global System Mobile HSDPA High Speed Downlink Package Access IEEE Institute of Electrical and Electronics Engineering IMT Advanced International Mobile Telecommunications ITU International Telecommunications Union MIMO Multiple-Input and Multiple-Output OFDMA Orthogonal Frequency Division Multiplexing Access PE Processing Element RxMDC Radix x Multipath Delay Commutator SDFB Single Path Delay Feedback UMTS Universal Mobile Telecommunication System VoIP Voice of Internet Protocol WCDMA Wideband Code Division Multiplexing Access ix Chương 4: Thực FFT 1536 điểm  nhân twiddle đồng thời (thực phép nhân có phép nhân với bỏ qua) tính tổng để output FFT 1536 điểm - Ba chuỗi ngõ FFT đệm ngõ cách việc đọc từ thực lần liên tiếp Bộ đệm bao gồm đệm Mỗi đệm cung bao gồm đệm nhỏ để đảm bảo thông lượng streaming Dung lượng đệm 2x3x512=3072 từ 2.4 Rom chứa hệ số góc xoay (Twiddle ROM) Như mơ tả phương trình trên, hệ số twiddle áp dụng cho outputs thứ từ FFT 512 Việc định hệ số twiddle đồng chỉnh với mẫu liệu thực ảo từ đệm Trong luận văn này, ROM chứa twiddle lưu đầy đủ 1536 giá trị twiddle Tuy nhiên dựa vào tính tuần hồn tín hiệu hình sine, 1/4 chu kỳ đủ để biểu diễn dạng sóng sine, ta rút giảm ¾ dung lượng ROM cách lưu giá trị ¼ chu kì 2.5 Khối Radix Khối radix thực nhân mẫu ngõ vào với hệ số twiddle tương ứng cộng kết để có kết cuối FFT 1536 điểm HVTH: Lê Khánh Dương 63  Chương 4: Thực FFT 1536 điểm  Hình 4.13: Khối Radix 3 Chương trình VHDL Xem phần chi tiết phần phụ lục (Trang 75) HVTH: Lê Khánh Dương 64  Chương 5: Mô đánh giá kết quả  CHƯƠNG 5: MÔ PHỎNG VÀ ĐÁNH GIÁ KẾT QUẢ Mô Do chưa thể thực thi phần cứng (Kit DE2 Altera) nên đề tài giới hạn việc chạy mô chức Modelsim đánh giá kết dựa việc so sánh với kết tính Matlab 1.1 Mơ chức thành phần tính tốn dựa dấu chấm động 1.1.1 Bộ cộng số dấu chấm động Bộ cộng thực cộng số dấu chấm động dấu khác dấu Ví dụ 1: Cộng số dấu: 19.237+ 2949.4263= ? In1 In2 Out Cộng số dấu: 19.237+ 2949.4263=? Hex Binary 4199e560 01000001100110011110010101100000 453856d2 01000101001110000101011011010010 45398a9c 01000101001110011000101010011100 Decimal 19.237 2949.4263 2968.663086 Giản đồ xung: Hình 5.1: Giản đồ xung VD cộng số dấu HVTH: Lê Khánh Dương 65  Chương 5: Mơ đánh giá kết quả  Ví dụ 2: Cộng số khác dấu: 19.237 + (-2949.4263)=? In1 In2 Out Cộng số khác dấu: 19.237 + (-2949.4263)=? Hex Binary 4199e560 01000001100110011110010101100000 c53856d2 11000101001110000101011011010010 c5372308 11000101001101110010001100001000 Decimal 19.237 -2949.4263 -2930.189453 Lưu ý: ngõ vào in2 ví dụ đảo dấu ngõ vào in2 ví dụ Giản đồ xung: Hình 5.2: Giản đồ xung VD cộng số khác dấu 1.1.2 Bộ trừ số dấu chấm động Bộ cộng thực trừ số dấu chấm động dấu khác dấu Ví dụ 1: Trừ số dấu: 2949.4263 - 19.237= ? In1 In2 Out Trừ số dấu: 2949.4263 - 19.237=? Hex Binary 453856d2 01000101001110000101011011010010 4199e560 01000001100110011110010101100000 45372308 01000101001110011000101010011100 Decimal 2949.4263 19.237 2930.189453 Giản đồ xung: HVTH: Lê Khánh Dương 66  Chương 5: Mô đánh giá kết quả  Hình 5.3: Giản đồ xung VD trừ số dấu Ví dụ 2: In1 In2 Out Trừ số khác dấu: 19.237 – (-2949.4263) = ? Hex Binary 4199e560 01000001100110011110010101100000 c53856d2 11000101001110000101011011010010 45398a9c 01000101001110011000101010011100 Decimal 19.237 -2949.4263 -2930.189453 Lưu ý: ngõ vào in2 ví dụ đảo dấu ngõ vào in2 ví dụ Giản đồ xung: Hình 5.4: Giản đồ xung VD trừ số khác dấu 1.1.3 Bộ nhân số thực dấu chấm động Ví dụ 1: HVTH: Lê Khánh Dương 67  Chương 5: Mô đánh giá kết quả  Nhân số thực: 2949.4263 * 19.237 = ? Binary 01000101001110000101011011010010 01000001100110011110010101100000 01000111010111011010001000011100 Hex 453856d2 4199e560 475da21c In1 In2 Out Decimal 2949.4263 19.237 56738.109375 Giản đồ xung: Hình 5.5: Giản đồ xung VD nhân số thực 1.1.4 Bộ nhân số phức dấu chấm động Từ nhân, cộng trừ ta xây dựng nhân số phức cho kết xác 1.2 Mơ chức khối FFT 1536 điểm Các bước tiến hành kiểm chứng sau: 1) Viết chương trình testbench mô khối FFT 1536 điểm 2) Tạo file input: in_r.txt in_i.txt, file chứa chuỗi input dạng số hex 3) Chương trình testbench chạy Modelsim đọc input xuất kết file text: out_vhdl_r.txt out_vhdl_i.txt 4) Chương trình viết ngôn ngữ Matlab vừa đọc file input tính FFT 1536 dùng hàm FFT có sẵn Matlab vừa vẽ kết từ nguồn: Modelsim Matlab để quan sát Ví dụ 1: ¾ Input chuỗi: 0 1 0 0 (1536 mẫu dạng số hex dấu chấm động) ¾ Kết mơ Modelsim: HVTH: Lê Khánh Dương 68  Chương 5: Mô đánh giá kết quả  Hình 5.6: Dữ liệu in out khối FFT 1536 thời điểm bắt đầu tính Hình 5.7: Dữ liệu in out thời điểm bắt đầu có ngõ khối FFT 1536 Hình 5.8: Kết tính Modelsim Matlab với input chuỗi xung đơn giản HVTH: Lê Khánh Dương 69  Chương 5: Mô đánh giá kết quả  Ví dụ 2: Hình 5.9: Kết tính Modelsim Matlab với input chuỗi xung diract HVTH: Lê Khánh Dương 70  Chương 5: Mơ đánh giá kết quả  Ví dụ 3: Hình 5.10: Kết tính Modelsim Matlab với input dạng sine 1.3 Sử dụng tài nguyên Ta dùng kết sử dụng tài nguyên khối FFT 512 để đánh giá sơ mức độ sử dụng tài nguyên phần cứng core FFT 1536 dựa so sánh với kết sử dụng tài nguyên số core FFT Altera thiết kế Tài liệu có phiên Web Edition phần mềm Quartus II Altera HVTH: Lê Khánh Dương 71  Chương 5: Mô đánh giá kết quả  Hình 5.11: Thơng số tài ngun sử dụng core FFT 512 đề tài Hình 5.12: Thơng số tài nguyên sử dụng số core FFT Altera thiết kế Nhận xét ¾ Kết mô chức năng: Với input chuỗi xung đơn giản kết tính FFT có sai số nhỏ, với input phức tạp sai số lớn hơn, nhiên sai số cục giá trị lớn HVTH: Lê Khánh Dương 72  Chương 5: Mô đánh giá kết quả  sai số tích lũy qua nhiều tầng tính tốn Sai số rút giảm ta xây dựng giải thuật tính tốn số dấu chấm động tốt ¾ Kết sử dụng tài nguyên: So với thông số tài nguyên Altera lượng tài nguyên sử dụng cịn lớn ROM lưu trữ giá trị twiddle xây dựng dựa logic cell Con số rút giảm đáng kể ta sử dụng ROM ngồi tích hợp kit FPGA Altera Ngoài việc sử dụng trực tiếp lệnh nhân ngôn ngữ VHDL làm tăng đáng kể lượng tài nguyên sử dụng so với thiết kế chúng mức cổng Dựa vào thông số tài nguyên sử dụng ta thấy FFT 1536 core lớn Kết luận Kết cuối chưa thực hoàn chỉnh so với yêu cầu lúc đầu chạy kit DE2, nhiên nói vấn đề quan trọng đề tài thực pipeline thực khối Radix để kết cuối công thức tính FFT 1536 phân tích phần lý thuyết Ngồi ra, việc xây dựng thành cơng khối FFT theo kiến trúc R22SDF ưu điểm mặt tiết kiệm tài nguyên so với đề tài trước thực theo kiến trúc Radix Hướng phát triển đề tài Đề tài dừng việc tính FFT 1536 điểm Tuy nhiên thân khối FFT 1536 chứa Radix 22, Radix nên việc mở rộng tính FFT cho nhiều số khác khả thi dễ thực HVTH: Lê Khánh Dương 73  Chương 5: Mô đánh giá kết quả  Từ kiến thức nghiên cứu trình thực đề tài FFT ta vận dụng để tiếp tục nghiên cứu thiết kế core IFFT sử dụng máy phát hệ thống OFDM mạng 3G LTE HVTH: Lê Khánh Dương 74  Luận văn cao học GVHD: ThS Hồ Trung Mỹ Tài liệu tham khảo [1] Shousheng He and Mats Torkelson, “A New Approach to Pipeline FFT Processor”, Lund University, Sweden [2] Sule, Ambarish Mukund, “Design of PipelineFast Fourier Transform Processors using Dimensional Integrated Circuit Technology ”, North Carolina State University, 2007 [3] Jonas Claeson’s master thesis“Design and Implementation of An Asynchronous Pipelined FFT Processor”, Linkoping University, 2003 [4] “1536-Point FFT for 3GPP Long Term Evolution”, Altera’s website: www.altera.com [5] “Mobile LTE Network design with ICS telecom”, ATDI Corporation, 2008 [6] Charan Langton“Overview of the 3GPP LTE Long Term Evolution Physical layer”, www.complextoreal.com , 2002 [7] Jim Zyren, Dr Wes McCoy“Orthogonal Frequency Division Multiplex (OFDM) Tutorial”, Freescale Simiconductor, 2007 HVTH: Lê Khánh Dương 160 Phụ lục: Code chương trình  PHỤ LỤC: CODE CHƯƠNG TRÌNH Một số tập tin VHDL quan trọng core FFT 1536 điểm: 1) mux2.vhd: Mux sang 1; mux2to1.vhd tương tự (Trang 77) 2) add_sub_pakage_fp.vhd: File package dùng để thực cộng trừ số dấu chấm động (Trang 77) 3) signed_add_fp.vhd: Bộ cộng số dấu chấm động khác dấu (Trang 81) 4) signed_sub_fp.vhd: Bộ trừ số dấu chấm động khác dấu (Trang 81) 5) mult_fp.vhd: Bộ nhân số thực dấu chấm động (Trang 82) 6) bfi.vhd: Butterfly số (Trang 84) 7) bfii.vhd: Butterfly số hiệu chỉnh (Trang 84) 8) counter.vhd: Bộ đếm với số đếm max N=2r (Trang 85) 9) counter_m.vhd: Bộ đếm với số đếm mã N (Trang 86) 10) sampledelay.vhd: Delay hồi tiếp mẫu; shiftregister.vhd có vai trị tương tự (Trang 87) 11) twiddlerom.vhd: ROM chứa 128 giá trị phức số dấu chấm động dạng binary (Trang 88) 12) complex_mult vhd: Bộ nhân số phức (Trang 88) 13) datapath_512.vhd: Datapath khối FFT 512 điểm (Trang 90) 14) control_512.vhd: Control khối FFT 512 điểm (Trang 99) 15) fft_512.vhd: Kết hợp file datapath_512.vhd control_512.vhd tạo thành file top cho khối FFT 512 điểm (Trang 109) HVTH: Lê Khánh Dương 75  Phụ lục: Code chương trình  16) s2p.vhd: Component có chức tách chuỗi input 1536 thành chuỗi 512 song song trước vào khối FFT 512 (Trang 114) 17) reorder_lte.vhd: khối có chức chuyển đổi ngõ vào chuỗi output FFT 512 liên tiếp thành chuỗi output 512 song song Đồng thời thực bitreversed chuỗi output 512 để chuyển từ kiểu thứ tự bit-reversed (sau khỏi khối FFT 512) thành kiểu thứ tự natural thông thường (Trang 116) 18) twrom_1_1536.vhd: ROM chứa thừa số twiddle cho chuỗi output FF 512 thứ (chuỗi output FF 512 thứ không cần nhân) (Trang 122) 19) twrom_2_1536.vhd: ROM chứa thừa số twiddle cho chuỗi output FF 512 thứ (Trang 123) 20) radix3.vhd: Khối thực nhân chuỗi output 512 song song với twiddle cộng lại để kết FFT 1536 (Trang 123) 21) datapath_1536.vhd: Datapath core FFT 1536 (Trang 133) 22) control_1536.vhd: Control core FFT 1536 (Trang 141) 23) fft_1536.vhd: File top core FFT 1536 (Trang 147) Testbench 24) fft_1536_tb.vhd: File testbench dùng để test core FFT 1536 (Trang 154) 25) file input: in_r.txt, in_i.txt; file output: out_vhdl_r.txt out_vhdl_i.txt (Trang 158) 26) fft_1536_tb.tcl: File script đặt thư mục giúp giảm thiểu thao tác chạy mô Modelsim Bằng cách dùng lệnh: > H:/Duong/luanvan8/1536_final/fft_1536_tb.tcl Modelsim tự động thực tất thao tác: biên dịch, run… cho kết output (Trang 158) HVTH: Lê Khánh Dương 76  ... ĐỀ TÀI: Thiết kế IP Core FFT 1536 điểm ứng dụng mạng di động 3G LTE dùng FPGA 2- NHIỆM VỤ LUẬN VĂN: • Tìm hiểu sở lý thuyết phép biến đổi Fourier liên tục Fourier rời rạc(DFTFFT) • Thiết kế khối... đến x Chương 1: Lý thuyết ứng dụng FFT mạng di động 3G LTE? ?   CHƯƠNG 1: LÝ THUYẾT VÀ KIẾN TRÚC PHẦN CỨNG TÍNH FFT – ỨNG DỤNG FFT TRONG MẠNG DI ĐỘNG 3G LTE Biến đổi FFT (Fast Fourier Transform)... thuyết ứng dụng FFT mạng di động 3G LTE? ?   Ứng dụng FFT mạng di động 3G LTE Như nói phần tổng quan, mạng 3G LTE sử dụng kĩ thuật OFDM kênh đường xuống (từ antena xuống user) Trong kĩ thuật này,

Ngày đăng: 15/02/2021, 18:43

TỪ KHÓA LIÊN QUAN

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w