1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

Báo cáo thí nghiệm kỹ thuật số full

39 95 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Cấu trúc

  • BÀI THÍ NGHIỆM 1

    • MỤC TIÊU:

    • TIẾN HÀNH THÍ NGHIỆM:

      • I. THÍ NGHIỆM 1.1 TUTORIAL:

      • II. THÍ NGHIỆM 1.2:

  • BÀI THÍ NGHIỆM 2

    • MỤC TIÊU:

    • TIẾN HÀNH THÍ NGHIỆM:

      • I. THÍ NGHIỆM 2.1 THIẾT KẾ BỘ CỘNG 2 SỐ 4-BIT:

      • II. THÍ NGHIỆM 2.2:

  • BÀI THÍ NGHIỆM 3

    • Mục tiêu:

    • Tiến hành thí nghiệm

      • I Thí nghiệm 3.1 – Bộ đếm mã BCD

      • II Thí nghiệm 3.2- Bộ đếm lên xuống thập phân từ 0-99

  • BÀI THÍ NGHIỆM 4

    • YÊU CẦU

    • TIẾN HÀNH THÍ NGHIỆM

Nội dung

Thí nghiệm Kỹ thuật số của thầy Nguyễn Khắc Phương Tuấn THIẾT KẾ BỘ CỘNG 2 SỐ 4BIT, Thiết kế bộ ALU (Arithmetic and Logic Unit) thực hiện 8 hàm tính toán số học và logic đơn giản, Bộ Alu cộng trừ hai số bốn bit, Thiết kế bộ đếm thập phân các số có 2 chữ số. Mạch thực hiện đếm lên từ 00 đến 99 hoặc đếm xuống từ 99 về 00.

ĐẠI HỌC QUỐC GIA TP HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA KHOA ĐIỆN – ĐIỆN TỬ BỘ MÔN ĐIỆN TỬ -o0o - BÁO CÁO THÍ NGHIỆM KỸ THUẬT SỐ THÍ NGHIỆM TRÊN KIT ALTERA- DE2 Giảng viên hướng dẫn: Nguyễn Khắc Phương Tuấn GVHD: Nguyễn Khắc Phương Tuấn TP HỒ CHÍ MINH, THÁNG 12 NĂM 2019 GVHD: Nguyễn Khắc Phương Tuấn MỤC LỤC GVHD: Nguyễn Khắc Phương Tuấn BÀI THÍ NGHIỆM • MỤC TIÊU: Sinh viên cần tìm hiểu KIT DE2, sử dụng cơng cụ Quartus, cách cài đặt chương trình KIT Altera DE2 FPGA dùng Programmer • TIẾN HÀNH THÍ NGHIỆM: I THÍ NGHIỆM 1.1 TUTORIAL: Sinh viên đọc phần III thí nghiệm thực chương trình Test01 theo bước đưa Giáo viên hướng dẫn kiểm tra kết thực sinh viên thông qua kết mô Các bước thực hiện: Step 1: Trên menu chọn File/New Project Wizard để bắt đầu trình tạo project Step 2: Đặt tên project thiết lập đường dẫn đến thư mục làm việc project Step 3: Add Files Step 4: Chọn device cho project muốn thiết kế Step 5: Tạo file thiết kế cho project Step 6: Viết code chương trình cho thiết kế ngơn ngữ VHDL Step 7: Tiến hành gán pin cho thiết kế cách import Assignments Step 8: Tiến hành biên dịch chương trình GVHD: Nguyễn Khắc Phương Tuấn Code VHDL: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity test01 is port ( SW : in std_logic_vector (1 downto 0); LEDR : out std_logic_vector (1 downto 0)); end entity; architecture behavior of test01 is begin LEDR (1 downto 0)

Ngày đăng: 28/10/2020, 14:56

HÌNH ẢNH LIÊN QUAN

c. Bảng chân trị: Inputs Outputs a_i nb_inNot_op(a_in) - Báo cáo thí nghiệm kỹ thuật số full
c. Bảng chân trị: Inputs Outputs a_i nb_inNot_op(a_in) (Trang 8)
Bảng 1 Các ngõ vào và ngõ ra của ALU Signal  - Báo cáo thí nghiệm kỹ thuật số full
Bảng 1 Các ngõ vào và ngõ ra của ALU Signal (Trang 15)
Bảng 3 Các ngõ vào, ngõ ra của bộ đếm mã BCD Signal - Báo cáo thí nghiệm kỹ thuật số full
Bảng 3 Các ngõ vào, ngõ ra của bộ đếm mã BCD Signal (Trang 22)
3 Sơ đồ khối (Block Diagram): - Báo cáo thí nghiệm kỹ thuật số full
3 Sơ đồ khối (Block Diagram): (Trang 22)

TỪ KHÓA LIÊN QUAN

w