Nghiên cứu các phương pháp điều chế và điều khiển bộ biến đổi bán dẫn công suất đa mức kiểu module hóa

182 48 0
Nghiên cứu các phương pháp điều chế và điều khiển bộ biến đổi bán dẫn công suất đa mức kiểu module hóa

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

LỜI CAM ĐOAN Tôi xin cam đoan công trình nghiên cứu riêng tơi hướng dẫn Thầy hướng dẫn nhà khoa học Tài liệu tham khảo luận án trích dẫn đầy đủ Các số liệu, kết luận án hoàn toàn trung thực chưa tác giả khác công bố Người hướng dẫn khoa học Hà Nội, ngày 12 tháng 02 năm 2020 Tác giả luận án Trần Hùng Cường i LỜI CẢM ƠN Luận án hoàn thành sở kết nghiên cứu Tôi trường Bách khoa Hà Nội, sau thời gian học tập nghiên cứu, Tơi hồn thành luận án hướng dẫn PGS.TS Trần Trọng Minh TS Phạm Việt Phương, Trường Đại học Bách khoa Hà Nội Trước hết, Tôi xin bày tỏ lòng biết ơn sâu sắc dẫn tận tình tập thể thầy hướng dẫn, người dìu dắt, chia sẻ, quan tâm, tạo điều kiện, giúp đỡ kịp thời thời gian chun mơn để tơi hồn thiện luận án Tôi xin chân thành cảm ơn Thầy Cô mơn Tự động hóa Cơng nghiệp, Thầy Cơ công tác viện Kỹ thuật điều khiển Tự động hóa, có ý kiến góp ý chân thành, sâu sắc suốt q trình tơi học tập, làm việc, xây dựng thực nghiệm bước thực luận án Tôi xin cảm ơn Ban giám hiệu, Phòng đào tạo, Viện Điện Trường Đại học Bách khoa Hà Nội tạo điều kiện thuận lợi nhiều mặt để tơi hồn thành luận án Tơi xin chân thành cảm ơn anh chị em Nghiên cứu sinh chuyên ngành, bạn sinh viên Tự động hóa, kỹ sư nhà nghiên cứu trẻ Phòng thí nghiệm 203-C9 trường ĐH Bách khoa Hà Nội, người Tôi đồng hành, động viên, giúp đỡ lẫn nhau, trao đổi chuyên môn, hỗ trợ Tơi việc tìm kiếm tài liệu nghiên cứu học tập để tơi có kết ngày hơm Tơi xin bày tỏ lòng cảm ơn quan tâm, giúp đỡ, động viên tạo điều kiện Ban giám hiệu trường Đại học Hồng Đức, Ban chủ nhiệm khoa Kỹ thuật Công nghệ đồng nghiệp khoa Kỹ thuật Công nghệ, Trường Đại học Hồng Đức giúp đỡ tạo điều kiện mặt thời gian, công việc để Tôi học tập, nghiên cứu cách thuận lợi Sau cùng, xin gửi lời cảm ơn sâu sắc đến gia đình Tơi quan tâm, động viên giúp đỡ để Tơi vượt qua khó khăn để hồn thành luận án Hà Nội, ngày 12 tháng 02 năm 2020 Tác giả luận án Trần Hùng Cường ii MỤC LỤC Trang LỜI CAM ĐOAN i LỜI CẢM ƠN ii MỤC LỤC iii DANH MỤC CHỮ VIẾT TẮT vii DANH MỤC BẢNG viii MỞ ĐẦU CHƯƠNG 1: TỔNG QUAN VỀ BỘ BIẾN ĐỔI ĐA MỨC MMC 1.1 Tình hình nghiên cứu ngồi nước 1.1.1 Tình hình nghiên cứu nước 1.1.2 Tình hình nghiên cứu ngồi nước 1.2 Cấu trúc hoạt động biến đổi MMC 1.2.1 Cấu trúc biến đổi MMC 1.2.1 Nguyên lý tạo mức điện áp SM dạng nửa cầu 10 1.2.2 Nguyên lý hoạt động biến đổi MMC 11 1.3 Vấn đề điều chế cho biến đổi MMC 13 1.4 Vấn đề điều khiển cho biến đổi MMC 14 1.5 Định hướng nghiên cứu đóng góp luận án 18 1.6 Tóm tắt kết luận 19 CHƯƠNG 2: MƠ HÌNH HĨA BỘ BIẾN ĐỔI MMC 20 2.1 Mơ hình trạng thái liên tục BBĐ MMC nối tải R-L 20 2.2 Mơ hình BBĐ MMC chế độ nối lưới 26 2.3 Mơ hình MMC phương pháp điều chế 30 2.3.1 Mơ hình MMC phương điều chế mức gần NLM 30 2.3.1.1 Điều chế NLM cổ điển cho MMC 31 2.3.1.2 Điều chế NLM cải tiến cho MMC 33 2.4 Mô phương pháp điều chế cho MMC 40 2.4.1 Mô phương pháp điều chế NLM cải tiến cho BBĐ MMC 41 2.4.2 Mô phương pháp điều chế PS-PWM cho BBĐ MMC 42 2.5 Tóm tắt kết luận 44 CHƯƠNG 3: PHƯƠNG PHÁP ĐIỀU CHẾ SVM CHO BBĐ MMC 46 3.1 Phương pháp điều chế SVM cho nghịch lưu đa mức 46 3.1.1 Trạng thái khóa bán dẫn, trạng thái mức vector trạng thái 47 3.1.2 Tính hệ số điều chế theo phương pháp điều chế từ ba vector gần 51 3.1.4 Xác định vector trạng thái sector 54 3.2 Trật tự tối ưu số lần chuyển mạch chất lượng sóng hài điện áp 57 3.3 Thứ tự chuyển mạch tối ưu điều chế ba vector gần 59 3.4 Thực quy luật điều chế SVM cho MMC 63 3.5 Thuật toán cân điện áp tụ MMC 65 iii 3.6 Mô phương pháp điều chế SVM cho BBĐ MMC 67 3.7 Điều khiển dự báo cân điện áp trung bình tụ điện 69 3.7.1 Mơ hình dự báo dòng điện vòng, điện áp tụ điện MMC 72 3.7.2 Hàm mục tiêu thuật toán điều khiển dự báo cân giá trị trung bình điện áp tụ điện 74 3.7.3 Thuật tốn tối ưu hóa giá trị dự báo điện áp trung bình tụ điện nhánh pha 75 3.8 Điều khiển suy giảm thành phần sóng hài bậc cao dòng điện vòng MMC 76 3.9 Mơ hình kết mơ MMC dựa thuật tốn điều khiển dự báo dòng điện vòng cân điện áp tụ điện 80 3.9.1 Mơ hình khối mơ matlab-simulink 80 3.9.3 Kết mô 85 3.10 Tóm tắt kết luận 89 CHƯƠNG 4: HỆ THỐNG ĐIỀU KHIỂN CHO CÁC ỨNG DỤNG CỦA MMC 91 4.1 Điều khiển BBĐ MMC nối lưới điện xoay chiều ba pha 91 4.1.1 Thiết kế điều khiển dòng điện 92 4.1.2 Thiết kế điều khiển công suất tác dụng, công suất phản kháng 94 4.1.3 Kết mô hệ thống biến đổi MMC nối lưới 96 4.2 Ứng dụng D-STATCOM bù CSPK dựa MMC 99 4.2.1 Giới thiệu STATCOM bù công suất phản kháng 99 4.2.2 Cấu trúc DSTATCOM dựa MMC 100 4.2.3 Nguyên lý làm việc D-STATCOM 101 4.2.4 Thiết kế điều khiển D-STATCOM dựa MMC 103 4.2.5 Mô hệ thống D-STATCOM dựa MMC 105 4.3 Tóm tắt kết luận 107 CHƯƠNG 5: THIẾT KẾ XÂY DỰNG HỆ THỐNG THỰC NGHIỆM BỘ BIẾN ĐỔI MMC 109 5.1 Cấu trúc hệ thống thực nghiệm BBĐ MMC 109 5.2 Tính tốn thiết kế hệ thống thực nghiệm 110 5.2.1 Tính tốn thiết kế mạch lực 110 5.2.2 Tính tốn thiết kế mạch đo 112 5.2.3 Mạch ADC 114 5.2.3 Tính tốn thiết kế mạch driver 115 5.2.4 Mạch đệm ADC 116 5.2.5 Mạch FPGA 117 5.2.6 Sản phẩm mạch thiết kế 117 5.3 Kết thực nghiệm 120 5.3.1 Kết thực nghiệm phương pháp điều chế PWM cho MMC 120 5.3.2 Kết thực nghiệm phương pháp điều chế NLM cho MMC 122 iv 5.3.3 Kết thực nghiệm phương pháp điều chế SVM cho MMC 124 5.4 Tóm tắt kết luận 125 KẾT LUẬN VÀ KIẾN NGHỊ 127 DANH MỤC CÁC CƠNG TRÌNH ĐÃ CƠNG BỐ CỦA LUẬN ÁN 130 PHỤC LỤC 139 Phục lục Các chương trình lập trình 139 Phục lục Hình ảnh số khối thực mô Matlab-Simmulink 146 Phục lục Tính tốn thơng số hệ thống mạch đo thực nghiệm MMC 148 v DANH MỤC KÝ HIỆU Ký hiệu L R Lo C Ro U id, iq i ,i β i v∝ Đơn vị Ý nghĩa H Cuộn cảm tải  Điện trở tải H Cuộn cảm nhánh MMC F Tụ điện SM  Điện trở nhánh MMC V Điện áp nguồn điện phía xoay A Dòng điện chạy qua cuộn cảm hệ tọa độ dq A Dòng điện chạy qua cuộn cảm hệ tọa độ β A Dòng điện vòng ∝ A A A A A A V V Dòng điện phía đầu xoay chiều Dòng điện phía chiều Dòng điện đặt Dòng điện đặt trục d trục q hệ tọa độ dq Dòng điện chạy nhánh Dòng điện chạy nhánh Điện áp tổng nhánh Điện áp phía xoay chiều kH, kL V V V V W Var V V V Điện áp tổng nhánh Điện áp nguồn chiều Điện áp tụ điện Độ chênh lệch điện áp nhánh nhánh Công suất tác dụng Công suất phản kháng Điện áp SM Điện áp biến đổi Chỉ số chèn SM nhánh nhánh Điện áp tổng tụ điện chèn vào pha Cx F Điện dung tổng pha F H Điện dụng lọc nối lưới Điện cảm lọc nối lưới rad/s Tần số góc dòng điện điện áp lưới ix i i i DC ref ref_d ,i i Hx i Lx v ref_d Hx v x v Lx V DC VC V diff P Q V v SM ex VCx C l L l ω s vi DANH MỤC CHỮ VIẾT TẮT ĐTCS BBĐ SVM CHB FPGA HB DSP FACTS FC IGBT PV NPC MPC FCS PLL PWM MMC STATCOM FOC THD NLM SVM SM PS-PWM LS-PWM IPD POD APOD CSPK Điện tử công suất Bộ biến đổi Space Vector Modulation Điều chế véc tơ không gian Cascaded H -bridge Nghịch lưu đa mức cầu H nối tầng Field Programmable Gate Mảng cổng lập trình Array H-Bridge Cầu H Digital Signal Processor Xử lý tín hiệu số Flexible AC Transmission Hệ thống truyền tải xoay chiều linh System hoạt Flying Capacitor Tụ bay Insulated Gate Bipolar Van IGBT Transistor Photovoltaic Điện mặt trời Neutral –point converter Bộ biến đổi đa mức diode chốt Model Predictive Control Điều khiển dựa mơ hình dự báo Finite Control Set Điều khiển hữu hạn trạng thái đóng cắt Phase Locked Loop Vòng khóa pha Pulse Width Modulation Điều chế độ rộng xung Modular multilevel Converter Bộ biến đổi đa mức cấu trúc module Static Synchronous Thiết bị bù đồng tĩnh Compensator Flux oriented control Điều khiển tựa theo từ thông Total Harmonic Distortion Tổng méo sóng hài Nearest Level Modulation Điều chế mức gần Space Vector Modulation Điều chế vector không gian Sub Module Bộ biến đổi nửa cầu Phase Shift Carrier Based Điều chế theo sóng mang dạng dịch pha Modulation Level Shift Carrier Based Điều chế theo sóng mang dạng dịch mức Modulation In Phase Disposition Sóng mang pha Phase Opposite Disposition Sóng mang đối xứng qua trục thời gian Alternative Phase Opposite Sóng mang ngược pha hai sóng o Disposition mang kề nhau, dịch góc 180 Cơng suất phản kháng vii DANH MỤC BẢNG Bảng 1.1 Điện áp ngõ SM 11 Bảng 2.1 Số mức điện áp đầu NVL cải tiến 23 Bảng 2.2 Kết so sánh hai phương pháp NLM cổ điển NLM cải tiến 35 Bảng 2.3 Thông số mô BBĐ MMC 40 Bảng 3.1 Bảng vector trạng thái cho MMC ba pha mức (góc phần sáu I, II, III) 50 Bảng 3.2 Bảng vector trạng thái sector 56 Bảng 3.3 Chuyển mạch tối ưu cho nhóm tam giác 1, 2, 3, 58 Bảng 3.4 Bảng gán trạng thái khóa cho vector điều chế NVM sector I, trạng thái [kg, kh] , mg + mh 60 Bảng 3.6 Bảng gán trạng thái khóa cho vector điều chế NVM sector II, trạng thái [kg, kh], mg + mh 60 Bảng 3.8 Bảng hệ số điều chế hệ tọa độ abc cho sector 62 Bảng 3.9 Bảng hệ số điều chế cho pha, sector I, III, V, mg + mh 1 62 Bảng 3.11 Bảng hệ số điều chế cho pha, sector II, IV, VI, mg + mh 1 63 viii Tài liệu tham khảo [80] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90] [91] [92] Electrical Drives and Predictive Control of Electrical Drives and Power Electronics (SLED/PRECEDE), Oct-2013 Seddik Bacha, Iulian Munteanu, Antoneta Iuliana Bratcu “Power Electronic Converters Modeling and Control”, 2014 ISSN 1439-2232 Springer London Heidelberg New York Dordrecht, September-2013 Troster, E., (2009) “New German grid codes for connecting PV systems to theă medium voltage power grid”, In: 2nd International Workshop on Concentrating Photovoltaic Power Plants: Optical Design, Production, Grid Connection, 9–10 March-2009 Michal Sztykiel1, Rodrigo da Silva, Remus Teodorescu, Lorenzo Zeni, Lars Helle and Philip Carne Kjaer (2012), “Modular Multilevel Converter Modelling, Control and Analysis under Grid Frequency Deviations”, Power Electron., vol 24, no 3, Mar A Lesnicar, and R Marquardt (2013), “An Innovative Modular Multilevel Converter Topology Suitable for a Wide Power Range”, I Paper accepted for presentation at 2013 IEEE Bologna PowerTech Conference, June-2013, Bologna, Italy Feyzullah Erturk (2015), “Investigation of modular multilevel converter control methods”, Department of Electrical and Electronics Engineering Bin Wu (2006) “High – Power converters and AC Drives” Power Technology & Power Engineering, Wiley – Intersciemce, 978-1-119-15603-1, 2014 Arne Nysveen (2009), “Transmission solutions for connecting offshore power plants to the onshore grid”, Master of Science in Energy and Environment, orwegian University of Science and Technology, Department of Electric Power Engineering October 2009 Leopoldo G Franquelo, Jose Rodriguez, Jose I Leon, Samir Kouro, Ramon Portillo, (2008) “The Age of Multilevel Converters Arrives”, IEEE industrial electronics magazine, June 2008 Michail Vasladiotis “Analysis, Implementation and Experimental Evaluation of Control Systems for a Modular Multilevel Converter”, Master of Science Thesis, Stockholm, Sweden 2009 Y Cheng, C Qian, M L Crow, S Pekarek, and S Atcitty (2006), “A comparison of diode-clamped and cascaded multilevel converters for a STATCOM with energy storage,” IEEE Trans Ind Electron., vol 53, no 5, pp 1512–1521, May-2006 Michail Vasiladiotis (2009); “Analysis, Implementation and Experimental Evaluation of Control Systems for a Modular Multilevel Converter”; IEEE Transactions on Power Electronics 30(1):431-439, January 2015 L Sugasini (2016); “Renewable Energy Based Diode Clamped Multilevel Inverter with Reduced number of switches for Drives Application”; International Journal of A dvanced Research in Electrical, Electronics and Instrumentation Engineering, July-2016 R.latha, C.Bharatiraja ,R.Palanisamy, sudeepbanerji, Dr.Subhransu.Sekhar.Dash (2013), “Hysteresis Current Controller based Transformerless Split Inductor NPC-MLI for Grid Connected PV- System”, International Conference on Design 137 Tài liệu tham khảo [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] and manufacturing 2013(IConDM 2013) Procedia Engineering, Procedia Engineering 64:224-233, December 2013 Antonio Dell Aquila, Member, IEEE, Marco Liserre, Member, IEEE, Vito Giuseppe Monopoli, Member, IEEE, and Paola Rotondo (2005), “An EnergyBased Control for an n-H-Bridges Multilevel Active Rectifier”, IEEE transactions on industrial electronics 52, NO 3, May-2005 Ali Keshavarzian and Hossein Iman-Eini (2011); “A New Strategy for Control of Cascaded H-bridge Rectifiers with Unequal Loads”; 2nd Power Eletronics, Drive Systems and Technologies Conference, Oct-2011 F Z Peng (2001), “A generalized multilevel inverter topology with self voltage balancing,” IEEE Trans Ind Applicat., vol 37, pp 611–618, Mar./Apr-2001 M D Manjrekar, P K Steimer, and T A Lipo (2000), “Hybrid multilevel power conversion system: a competitive solution for high-power applications”, IEEE Trans Ind Applicat., vol 36, pp 834–841, May/June 2000 R Lund, M Manjrekar, P Steimer, and T Lipo (1999), “Control strategy for a hybrid seven level inverter” in Proc European Power Electronics Conf (EPE„99), Lausanne, Switzerland, CD-ROM, July-1999 Antonios Antonopoulos, Lennart Aă ngquist, Hans-Peter Nee (2014), On Dynamics and Voltage Control of the Modular Multilevel Converter”, Laboratory of electrical machines and power electronics royal institute of technology (KTH) Teknikringen 33, 100 44 Stockholm, Sweden, 2014 Udana N Gnanarathna, Aniruddha M Gole, Athula D Rajapakse, and Sanjay K Chaudhary (2012); “Loss Estimation of Modular Multi-Level Converters using Electro-Magnetic Transients Simulation”; Power Electronics and Motion Control Conference IEEE 5th International, September-2-12 W A Hill and C D Harbourt (1999), “Performance of medium voltage multilevel inverters” in Conf Rec IEEE-IAS Annu Meeting, Pheonix, AZ, Oct, pp 1186–119 Tefano Bifaretti, Pericle Zanchetta, Florin Iov, and Jon C Clare, “Power Flow Control through a Multi-Level H-Bridge based Power Converter for Universal and Flexible Power Management in Future Electrical Grids”, 13th International Power Electronics and Motion Control Conference, September 2008 Wen Wu, Xuezhi Wu, Long Jing, Jinke Li (2016), “Design of Modular Multilevel Converter Hardwarein-Loop Platform Based on RT-lab”, IEEE 8th International Power Electronics and Motion Control Conference (IPEMCECCE Asia), July 2016 138 Phục lục PHỤC LỤC Phục lục Các chương trình lập trình Phục lục 1.1 Chương trình lập trình thuật tốn cân điện áp tụ điện pha A function [s1,s2,s3,s4,s5,s6] = CBa(x1,vc1,vc2,vc3,vc4,vc5,vc6,ia) s1=0;s2=0;s3=0;s4=0;s5=0;s6=0; y=zeros(7);k=zeros(7);s=zeros(7); y(1)=vc1;y(2)=vc2;y(3)=vc3;y(4)=vc4;y(5)=vc5;y(6)=vc6; if (x1==6) s1=1;s2=1;s3=1;s4=1;s5=1;s6=1; end if(x1==0) s1=0;s2=0;s3=0;s4=0;s5=0;s6=0; end if(x1>0&&x1k(j)) a=k(j); k(j)=k(i); k(i)=a; end end end if(iak(6 - x1)) s(i)=1; end end end if(ia>0) for i=1:6 if(y(i) No = 3; else No = 6; end elseif z2x > No = 2; else No = 5; end elseif z1x > No = 1; else No =4; end duty = [0 0]; switch No case duty = [z1x z1y]; case duty = [z2x z2y]; case duty = [z3x z3y]; case duty = [-z1x -z1y]; case duty = [-z2x -z2y]; case duty = [-z3x -z3y]; end d1 = duty(1)/udc; d2 = duty(2)/udc; d = d1 + d2; if d > 12.0 d1 = 12*d1/d; d2 = 12*d2/d; d0 = 0; else d0 = 12- (d1 + d2); end y = [No d1 d2]; b) Chương trình xác định tam giác loại 1, tam giác loại hệ số điều chế (Function2) function y=TriType11H(x) m1 = x(1); m2 = x(2); SecNo = x(3); 140 Phục lục kg=floor(m1); kh=floor(m2); mg=m1-kg; mh=m2-kh; m0=mg+mh; d1 = 0; d2 = 0; d3 = 0; checkodd=mod(SecNo,2); if m01 D=2; d1=1-mh; d2=1-mg; d3=m0-1; end else if m0=1 if i_sign==1 j=1; while j(N-k_up) Von(I_sort(j))=1; j=j-1; end end end y = Von; Phục lục 1.4 Chương trình lập trình thuật tốn điều khiển dự báo dòng điện vòng cân điện áp trung bình nhánh pha (Function 3) 141 Phục lục function [simV,kopt,Jvmin,kABCout] = Simulator(u1, u2, u3, u4, u5) d123=u1; Dtype=u1(4); kg=u1(5); kh=u1(6); SecNo=u1(7); iABC=u2; icirABC=u3; veABCuplo=u4; vdiff=u5; icirA=zeros(7,1); icirB=zeros(7,1); icirC=zeros(7,1); icirA(1)=icirABC(1); icirB(1)=icirABC(2); icirC(1)=icirABC(3); veAup=zeros(7,1); veAlo=zeros(7,1); veBup=zeros(7,1); veBlo=zeros(7,1); veCup=zeros(7,1); veClo=zeros(7,1); veAup(1)=veABCuplo(1); veAlo(1)=veABCuplo(2); veBup(1)=veABCuplo(3); veBlo(1)=veABCuplo(4); veCup(1)=veABCuplo(5); veClo(1)=veABCuplo(6); kABCout=zeros(6,1); L=3.6e-3; C=2200e-6; Vdc=600; Vce=Vdc/6; kmax=6; k0=kh+kg; ksmin=-kmax+k0; if (k0==2*kmax-1)&&(Dtype==1) ksmax=kmax-1; elseif (k0==2*kmax-2)&&(Dtype==1) ksmax=kmax-1; else ksmax=kmax-2; end kopt=ksmin; Jvmin=1e9; for ksim=ksmin:1:ksmax [simlevel,kABC]=kABCuplo(ksim,k0,kg,kh,d123,Dtype,SecNo,vdiff); levelA=simlevel(:,1); levelB=simlevel(:,2); levelC=simlevel(:,3); for j=1:1:3 icirA(j+1)=icirA(j)+(Vdc-levelA(3*j-2)*veAup(j)-levelA(3*j1)*veAlo(j))*levelA(3*j)/(2*L); veAup(j+1)=veAup(j)+((icirA(j+1)+icirA(j))/2-iABC(1)/2)*levelA(3*j)/C; veAlo(j+1)=veAlo(j)+((icirA(j+1)+icirA(j))/2+iABC(1)/2)*levelA(3*j)/C; %Phase B icirB(j+1)=icirB(j)+(Vdc-levelB(3*j-2)*veBup(j)-levelB(3*j1)*veBlo(j))*levelB(3*j)/(2*L); veBup(j+1)=veBup(j)+((icirB(j+1)+icirB(j))/2-iABC(2)/2)*levelB(3*j)/C; veBlo(j+1)=veBlo(j)+((icirB(j+1)+icirB(j))/2+iABC(2)/2)*levelB(3*j)/C; %Phase C icirC(j+1)=icirC(j)+(Vdc-levelC(3*j-2)*veCup(j)-levelC(3*j1)*veClo(j))*levelC(3*j)/(2*L); veCup(j+1)=veCup(j)+((icirC(j+1)+icirC(j))/2-iABC(3)/2)*levelC(3*j)/C; veClo(j+1)=veClo(j)+((icirC(j+1)+icirC(j))/2+iABC(3)/2)*levelC(3*j)/C; end 142 Phục lục for j=4:1:6 %Phase A icirA(j+1)=icirA(j)+(Vdc-levelA(19-3*j)*veAup(j)-levelA(203*j)*veAlo(j))*levelA(21-3*j)/(2*L); veAup(j+1)=veAup(j)+((icirA(j+1)+icirA(j))/2-iABC(1)/2)*levelA(21-3*j)/C; veAlo(j+1)=veAlo(j)+((icirA(j+1)+icirA(j))/2+iABC(1)/2)*levelA(21-3*j)/C; %Phase B icirB(j+1)=icirB(j)+(Vdc-levelB(19-3*j)*veBup(j)-levelB(203*j)*veBlo(j))*levelB(21-3*j)/(2*L); veBup(j+1)=veBup(j)+((icirB(j+1)+icirB(j))/2-iABC(2)/2)*levelB(21-3*j)/C; veBlo(j+1)=veBlo(j)+((icirB(j+1)+icirB(j))/2+iABC(2)/2)*levelB(21-3*j)/C; %Phase C icirC(j+1)=icirC(j)+(Vdc-levelC(19-3*j)*veCup(j)-levelC(203*j)*veClo(j))*levelC(21-3*j)/(2*L); veCup(j+1)=veCup(j)+((icirC(j+1)+icirC(j))/2-iABC(3)/2)*levelC(21-3*j)/C; veClo(j+1)=veClo(j)+((icirC(j+1)+icirC(j))/2+iABC(3)/2)*levelC(21-3*j)/C; end Jv=(veAup(7)-veAlo(7))^2+(veBup(7)-veBlo(7))^2+(veCup(7)-veClo(7))^2; if Jvt_up t1=t_up; k_up1=k_up; k_lo1=k_lo; t2=t_lo-t_up; k_up2=k_up+1; k_lo2=k_lo; t3=Ts-(t1+t2); k_up3=k_up+1; k_lo3=k_lo+1; else t1=t_lo; k_up1=k_up; k_lo1=k_lo; t2=t_up-t_lo; k_up2=k_up; k_lo2=k_lo+1; t3=Ts-(t1+t2); k_up3=k_up+1; k_lo3=k_lo+1; end kt_uplo = [k_up1;k_lo1;t1;k_up2;k_lo2;t2;k_up3;k_lo3;t3]; end 145 Phục lục Phục lục Hình ảnh số khối thực mơ Matlab-Simmulink Hình PL1 Khối tạo xung điều khiển phương pháp PS-PWM Hình PL2 Khối tạo xung điều khiển phương pháp LS-PWM 146 Phục lục Hình PL3 Khối SM mắc nối tiếp nhánh pha A Hình PL Khối cấu trúc điều khiển MMC chế độ nối lưới Hình PL Khối cấu trúc điều khiển MMC chế độ bù công suất phản kháng 147 Phục lục   f / fo  Phục lục Tính tốn thơng số hệ thống mạch đo thực nghiệm MMC  Tính tốn thơng số phần tử mạch đo dòng điện Biên độ điện áp mạch đo dòng điện nhánh cơng thức (6.1) Av  (6.1) Với thành phần chiều tần số f = nên Vout-chia áp = Vin-RC = Vout-RC = 3V (xét trường hợp đầu max 3V) Phần tử giới hạn điện áp gồm diode D1 D2 mắc chiều với mức điện áp chuẩn VREF 3V GND 5V Mục đích giới hạn điện áp đầu 0-3V, đảm bảo chắn đầu khơng q 3V Q trình tính tốn chi tiết thông số phần tử mạch đo dòng điện thể phục lục Yêu cầu điện áp mạch đo lường 0-3V có mục đích cung cấp tín hiệu đo lường cho vi điều khiển Để tính chọn thơng số thiết bị, ta tiến hành xét giá trị điện áp lớn 3V để tính tốn giá trị phần tử Từ đó, điện áp mạch lọc RC có giá trị 3V, điện áp mạch chia áp Điện áp đầu mạch chia áp Vout_chia áp 3V, đồng thời xét điện áp đầu vào khuếch đại tín hiệu V+IN lớn 15V, điện áp đầu VOUT khếch đại thuật tốn tính theo cơng thức (3.9) sau: (6.2)   VOUT  G*VIN VIN   30V Theo cấu trúc IC khuếch đại INA128, đầu VOUT IC so sánh với điện áp VREF nên giá trị điện áp vào Vin_chia áp mạch chia áp như: V (6.3) in _ chia ap  VOUT  VREF  31,5V  Dựa vào mạch chia áp cơng thức (5.2), ta có: V out _ chia ap V RR Thay số vào (6.4) ta được: V R in _ chia ap R4  (6.4) R4 in _ chia ap V out _ chia ap V out _ chia ap 19 (6.5) 2 Chọn giá trị điện trở R3 R4 cho đảm bảo tỷ số Các giá trị R C mạch lọc chọn cho tần số lọc fo = 20kHz R 2 f o C  8,25.106 C Trên thị trường, ta chọn giá trị R, C đảm bảo tỷ số (6.6)  Tính tốn thơng số phần tử mạch đo điện áp Điện áp đầu mạch chia áp Vout_chia áp 3V, đồng thời xét điện áp đầu vào khuếch đại tín hiệu V+IN lớn 15V, điện áp đầu VOUT khếch đại thuật tốn tính theo cơng thức (5.2) (6.7): 148 Phục lục Trong đó: G = 1+ 50kΩ VOUT  G*VIN VIN   3V (6.7)  3, 75 điện trở RG = 18,18 (kΩ) RG Theo cấu trúc IC khuếch đại INA128, đầu VOUT IC so sánh với điện áp VREF nên giá trị điện áp vào Vin_chia áp mạch chia áp là: V V (6.8) in _ chia ap OUT VREF 18V  Dựa vào mạch chia áp 0-3V theo công thức (6.9), ta có: V R in _ chia ap R  36 V 5 out _ chia ap (6.9) V 41 out _ chia ap Chọn giá trị điện trở R36 R41 cho đảm bảo tỷ công thức (6.9) Xét phân áp đầu vào với điện áp vào Vin_chia áp V R =V out _ chia ap lớn 200V, ta có: 100 mV  39 in _ chia ap (6.10) RRRRRR 39 31 27 38 R Trong đó:  27 = R38 = R32 = R28  470k R  120 k ; R  1k 39  32 28 31 Bộ lọc điện áp đầu vào IC HCPL-7800 có tần số fo  =32,7 kHz Các giá 2 RC trị R C lọc chọn cho tần số lọc fo = 32,7 kHz, ta có:  4,87.106 C 2 f o C R (6.11) Chọn giá trị R, C đảm bảo tỷ số (6.11), có R = 487,5Ω, C = 10 pF Bộ lọc điện áp đầu INA128 có tần số fo  =20 kHz Các giá trị R 2 RC C mạch lọc chọn cho tần số lọc fo = 20kHz, ta có: R 2 f o C  8,25.106 (6.12) C Ta chọn giá trị R, C đảm bảo tỷ số (3.25), với R = 25kΩ, C = 330pF  ầửạđđệụđệ R (6.13) V =V 39 out _ chia ap in _ chia ap V out _ chia ap V R 39 R R R in _ chia ap 31 R 27 41 R R 32 28 (6.14) 41 R R 38 36 Điện áp đầu vào mạch chia áp điện áp VOUT INA128 Điện áp đầu mạch chia áp có giá trị 0-3V  Nguyên lý xác định D_OUT ADC Hình 5.7 Xung CS, CLK, D_IN cấp từ vi điều khiển Khi tín hiệu CS mức thấp MCP3208 hoạt động, vi điều khiển cấp xung CLK D_IN để chọn giá trị đầu vào từ chân CH0, CH1, CH2, CH3, CH4, CH5, CH6, CH7 Đầu số D_OUT 149 Phục lục tính tốn cơng thức cho bên dưới, sau chuyển đổi sang mã nhị phân 12 bits: D_OUT  4096.VIN (6.15) V REF Ở VIN điện áp đầu vào tương tự VREF điện áp đặt, có giá trị 3,3V 150 ... Chương 1: Tổng quan biến đổi đa mức MMC CHƯƠNG 1: TỔNG QUAN VỀ BỘ BIẾN ĐỔI ĐA MỨC MMC Bộ biến đổi đa mức có cấu trúc module hóa (MMC) BBĐ đa mức DC-AC có đặc tính ưu việt tính module hóa, cấu hình... hạn chế; điều khiển hạn chế dòng điện vòng; điều khiển cân điện áp tụ điện với phương pháp điều khiển đơn giản phù hợp; triển khai ứng dụng MMC cách hiệu cách kết hợp phương pháp điều chế điều khiển. .. nghiên cứu đánh giá điều khiển nâng cao hiệu suất MMC Các nghiên cứu cho MMC chủ yếu tập trung vào cấu trúc mạch lực, phương pháp mơ hình hóa, thuật tốn điều khiển cho MMC Cụ thể sau:  Các phương

Ngày đăng: 07/03/2020, 10:45

Tài liệu cùng người dùng

Tài liệu liên quan