Thiết kế máy bán nước tự động

27 388 1
Thiết kế máy bán nước tự động

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đề tài: “Thiết kế máy bán nước tự động” MỤC LỤC Đồ án thiết kế hệ thống số LỜI MỞ ĐẦU Dưới sức ép mạnh mẽ nhu cầu sử dụng người tiêu dùng thúc ép nhà chế tạo khơng ngừng nghiên cứu, tích hợp hồn thiện chúng hàng năm phù hợp với hầu hết điều kiện nhu cầu xã hội đại ngày thiết bị phải ngày nhỏ gọn, tính cơng nghệ tính thẩm mỹ ngày phải cao lên Những máy bán hàng tự động gần chế tạo mang đầy đủ tính máy thơng minh, khả xử lý nhanh chóng xác, sử dụng đơn giản, gần gũi thân thiện với người sử dụng Trong năm gần Việt Nam máy bán hàng tự động xuất nhiều nơi Có loại nhập từ nước ngồi, có loại nước chế tạo Nhưng gần gũi dễ thấy máy bán nư ớc tự động dạng lon có mặt nhiều nơi trường học, siêu thị…Vì nhóm chúng em với niềm đam mê thiết bị tự động chọn đề tài tìm hiểu máy bán nước tự động Nhưng thời gian kiến thức có hạn nên nhóm chúng em thiết kế máy bán nước với chức đơn giản đồ án tạo tiền đề cho chúng em thực tốt đồ án sau Đồ án thiết kế hệ thống số CHƯƠNG I: CƠ SỞ LÝ THUYẾT 1.1 Kit coolRunner-II 1.1.1 Giới thiệu kit coolRunner-II CoolRunner-II cung cấp hãng Xilinx Xilinx nhà phát triển hàng đầu lĩnh vực chip khả trình, nhà phát triển FPGA, CPLD sử dụng rộng rãi ứng dụng truyền thơng, tự động hóa, mật mã lĩnh vực khác CoolRunner-II thiết bị thết kế cho việc hoạt động với hiệu suất cao sử dụng lượng thấp Điều cho phép tiết kiệm lượng truyền thông tốc độ cao với thiết bị hoạt động pin Do sử dụng điện thấp động nên độ tin cậy hệ thống cải thiện CoolRunner-II bao gồm 16 khối chức liên kết với thông qua ma trận điện kết nối nâng cao Ma trận điện kết nối nguồn cung cấp liệu 40 đầu vào bổ sung cho khối chức Mỗi khối chức bao gồm 40 đầu vào 16 macrocells chứa bit cấu hình cho phép tổ hợp thiết lập phương thức hoạt động Ngồi thiết lập cài đặt trước cấu flip flop D T CoolRunner-II phát triển hoàn thành tảng USB-powered Bảng mạch bao gồm hiệu lượng cao, tiết kiệm lượng, khả lập trình hỗ trợ số thiết bị vào chung Ngồi có kết nối mở rộng cho phép kết nối với 64 CPLD sẵn có bên ngồi Đồ án thiết kế hệ thống số Hình 1.1.1: Kit coolRunner-II 1.1.2 Sơ đồ khối Hình 1.1.2: Sơ đồ khối Tính năng:      CoolRunner II TQ-144 bao gồm 256 macrocells Một cổng USB2 để lập trình JTAG truyền liệu Tần số cố định 8MHz ổ cắm cho tần số ngoại Mở rộng kết nối với 64 tín hiệu vào Một dây DS28E01Q EEPROM Đồ án thiết kế hệ thống số CoolRunner-II cấp nguồn từ cổng USB tách rời từ nguồn cung cấp bên gắn vào kết nối JP3, JP2 chọn xem bảng mạch sử dụng nguồn USB nguồn điện bên Nguồi điện bên ngoài, từ nguồn định tuyến thông qua điều chế LT3028 để tạo nguồn cung cấp điện áp 3.3V cho I/O 1.8V cho lõi theo yêu cầu CPLD CoolRunner-II cung cấp nút bấmBTN0 BTN1 hoạt động mức tích cực thấp, thiết bị chuyển mạch trượt đầu vào SW0 SW1, đèn led xanh led đoạn anode chung 1.1.3 Mơi trường lập trình bo mạch XC2C256TQ144 Sử dụng ngôn ngữ mô tả phần cứng Verilog Verilog hai ngôn ngữ mô tả phần cứng (gồm VHDL Verilog HDL) người thiết kế phần cứng sử dụng để mô tả, thiết kế hệ thống số, ví dụ máy tính hay linh kiện điện tử VHDL ngôn ngữ mô tả phần cứng cho mạch tích hợp tốc độ cao, loại ngôn ngữ mô tả phần cứng phát triển dùng cho trương trình VHSIC ( Very High Speed Itergrated Circuit ) quốc phòng Mỹ Mục tiêu việc phát triển VHDL có ngôn ngữ mô phần cứng tiêu chuẩn thống cho phép thử nghiệm hệ thống số nhanh cho phép dễ dàng đưa hệ thống vào ứng dụng thực tế Phần mền dùng để lập trình Xilinx ISE 10.1 Đồ án thiết kế hệ thống số Giao diện phần mềm lập trình: Hình 1.1.3: Giao diện ISE 10.1 1.2 Quang trở 1.2.1 Giới thiệu quang trở Đồ án thiết kế hệ thống số Là loại điện trở, mà điện trở suất giảm xuống nhanh có ánh sáng chiếu vào, làm CdS hoạt dộng tượng quang dẫn Ứng dụng :Chế tạo điện trở có giá trị thay đổi theo cường độ ánh sáng kích thích 1.2.1 Cấu tạo nguyên lý hoạt động Hình 1.2.1: Hình ảnh quang trở Quang điện trở có cấu tạo gồm: sợi dây (hoặc màng) chất quang dẫn (1) gắn đế cách điện (2) Hình 1.2.2 Cấu tạo ký hiệu quang trở Một quang điện trở CdS có điện trở vào khoảng Ω không chiếu sáng, có điện trở vào khoảng 20Ω chiếu sáng Đồ án thiết kế hệ thống số 1.3 Opto PC817 1.3.1 Cấu tạo Opto hay gọi cách ly quang linh kiện tích hợp có cấu tạo gồm led photo diot hay photo transitor Được sử dụng để ly khối chênh lệch điện hay công suất khối có cơng suất nhỏ với khối điện áp lớn Hình 1.3.1: Opto PC817 Opto PC817 có chân     Chân 1: ANODE Chân 2: CATHODE Chân 3: EMITTER Chân 4: COLLECTOR Hình 1.3.2: Sơ đồ cấu tạo 1.3.2 Ngun lý hoạt động Khi có dòng nhỏ di qua đầu led có opto làm cho led phát sáng Khi led phát sáng làm thông cực photo diot, mở cho dòng điện chạy qua Tùy vào dòng qua led lớn hay nhỏ mà photo diot cho phép dòng khuyếch đại qua lớn hay nhỏ Đồ án thiết kế hệ thống số 2.3 Lưu đồ thuật tốn Hình 2.2: Lưu đồ thuật toán Đồ án thiết kế hệ thống số 2.4 Mạch nguyên lý Hình 2.3: Sơ đồ mạch nguyên lý Nguyên lý hoạt động: Khi có tiền thả vào module quang trở cho mức điện áp 0V vào vi xử lý ngược lại khơng có tiền thả vào module quang trở cho mức điện áp 3.3V vào vi xử lý Giá chai nước 4000, số tiền tối đa ta phải bỏ vào để có nước 6000, số tiền tối thiểu 4000 Khi đủ số tiền chai nước vi xử lý cho tín hiệu chân với mức điện áp 3,3V để điều khiển động trả nước Khi số tiền thả vào thừa chai nước vi xử lý cho tín hiệu chân với mức điện áp 3,3V để điều khiển động trả nước chân với điện áp 3,3V để điều khiển động trả tiền thừa 1000 2000 tùy vào số tiền thừa thả vào Đồ án thiết kế hệ thống số 2.5 Thiết kế phần cứng 2.5.1 Phần mạch điện a Kít coolRunner-II Hình 2.4: Kit coolRunner-II b Mạch điều khiển động Hình 2.5: Mạch điều khiển động Khi có tín hiệu điện áp 3,3V đưa vào chân opto led phát sáng làm cho photo transitor thơng, photo transitor thơng dẫn đến có điện áp 12V đặt động làm động quay Ngược lại điện áp 0V đưa vào chân opto động khơng quay Đồ án thiết kế hệ thống số c Mạch module quang trở Hình 2.6: Module quang trở Khi quang trở có ánh sáng chiếu vào điện áp LM358 3,3V tương ứng với mức logic Khi ánh sáng chiếu vào điện áp LM358 0V tương ứng với mức logic d Mạch nguồn Hình 2.7: Mạch nguồn Mạch nguồn cung cấp điện áp 5V cho hệ thống Đồ án thiết kế hệ thống số 2.5.2 Phần a Một hộp kín làm vỏ máy bán nước b Băng truyền trả nước Hình 2.8: Băng truyền trả nước Nước trả cho khách hàng cách sử dụng động điện chiều làm quay băng truyền, băng truyền có gạt, băng truyền quay gạt gạt nước nước rơi vào hốc đựng nước c ống trả tiền thừa Một máy bán hàng cần phải có cấu trả lại tiền thừa cho người mua Cơ cấu trả tiền phải xác nhanh, đảm bảo trả lại tiền thừa cho khách hàng Có thể vận dụng ngun lý mơ tả hình để thiết kế cấu trả tiền Hình 2.9: Phần trả tiền thừa Đồ án thiết kế hệ thống số Nguyên lý hoạt động: Vì cấu trả tiền độc lập với cấu nhận dạng, nên số tiền thừa để trả cho người mua phải tích trữ sẵn ống chứa Khi có tín hiệu yêu cầu trả tiền, động DC quay đẩy đồng tiền nằm ngồi Sau tiền rơi vào máng nghiêng rơi xuống hộc trả tiền Kết thúc phần trả tiền thừa Thời gian quay motor DC trả tiền thừa tính tốn xử lý d ống thả tiền vào Hình 2.10: Phần trả tiền vào Cấu tạo: 1: khung đặt cảm biến 2: khe thả tiền vào 2.6 Thiết kế phần mềm Ngơn ngữ lập trình: ngơn ngữ VHDL Phần mềm: Xilinx ISE 10.1 Code chương trình: Phụ lục Đồ án thiết kế hệ thống số Testbench: Hình 2.11: Testbench 2.7 Một số hình ảnh máy bán nước tự động Đồ án thiết kế hệ thống số Hình 2.12: Một số hình ảnh máy bán nước Đồ án thiết kế hệ thống số CHƯƠNG III: KẾT LUẬN VÀ ĐỊNH HƯỚNG PHÁT TRIỂN CỦA ĐỒ ÁN 3.1 Đánh giá kết thực đồ án Đồ án “ THIẾT KẾ MÁY BÁN NƯỚC TỰ ĐỘNG “, trình bày đề tài có ứng dụng cao, cung cấp cho thị trường máy bán nước tự động đặt nơi nhăm đáp ứng nhu cầu người Vận dụng kiến thức học cố gắng nhóm, nhóm em thực phần sau đây:     Nắm rõ quang trở Tạo module quang trở phù hợp với đồ án Thực khối điều khiển động Thiết kế mạch công suất để cung cấp nguồn & điều khiển thiết bị Bên cạnh đó, hệ thống số nhược điểm sau:  Hệ thống máy bán nước thiết kế đơn giản, chưa có nhiều tính 3.2 Hướng phát triển đồ án Đồ án đạt số kết định, song để đáp ứng cho toán thực tế chưa thật rối ưu Sau số hướng phát triển mà nhóm em dự định làm:  Nâng cấp hệ thống nhận tiền, cần đầu vào mà nhận biết nhiều loại tiền phân biệt tiền thật hay giả  Thiết kế máy bán nhiều loại nước  Kết nối máy tính để quản lý số lượng hàng bán Đồ án thiết kế hệ thống số PHỤ LỤC library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity dambn is Port ( clk : in STD_LOGIc; reset : in STD_LOGIC; S1 : in STD_LOGIC; S2 : in STD_LOGIC; S3 : in STD_LOGIC; coca : out STD_LOGIC; P1 : out STD_LOGIC; P2 : out STD_LOGIC; seg : out STD_LOGIC_VECTOR(6 downto 0); AN : out STD_LOGIC_VECTOR(1 downto 0) ); end dambn; architecture Behavioral of dambn is type status is (T0,T1,T2,T3,T4,T5,T6); signal cst,nst: status:=T0; signal flag: STD_LOGIC; Đồ án thiết kế hệ thống số signal count1,count2,count3: integer range to 99999999; signal clk_an: STD_LOGIC:='0'; signal tien: STD_LOGIC_VECTOR(3 downto ); signal mode2: STD_LOGIC_VECTOR(3 downto 0):=x"0"; signal temp: STD_LOGIC_VECTOR(3 downto 0); signal repay: STD_LOGIC_VECTOR(3 downto 0); signal clk_use: STD_LOGIC:='0'; begin main -process(reset,clk_use) begin if(reset='0') then cst

Ngày đăng: 04/05/2019, 21:01

Từ khóa liên quan

Mục lục

  • LỜI MỞ ĐẦU

  • CHƯƠNG I: CƠ SỞ LÝ THUYẾT

    • 1.1. Kit coolRunner-II

      • 1.1.1. Giới thiệu kit coolRunner-II

      • 1.1.2. Sơ đồ khối

      • 1.1.3. Môi trường lập trình bo mạch XC2C256TQ144

      • 1.2. Quang trở

        • 1.2.1. Giới thiệu quang trở

        • 1.2.1. Cấu tạo và nguyên lý hoạt động

        • 1.3. Opto PC817

          • 1.3.1. Cấu tạo

          • 1.3.2. Nguyên lý hoạt động

          • 1.4. Động cơ

            • 1.4.1. Cấu tạo

            • 1.4.2. Nguyên lý hoạt động

            • CHƯƠNG II: THIẾT KẾ MẠCH

              • 2.1. Yêu cầu đồ án

              • Thiết kế máy bán nước tự động dùng kít coolRunner-II.

              • 2.2. Sơ đồ khối hệ thống

              • 2.3. Lưu đồ thuật toán

              • 2.4. Mạch nguyên lý

              • 2.5. Thiết kế phần cứng

                • 2.5.1. Phần mạch điện

                • 2.5.2. Phần cơ

                • 2.6. Thiết kế phần mềm

Tài liệu cùng người dùng

Tài liệu liên quan