Fabrication and characterization of AIGaN gan HEMTs

110 589 0
Fabrication and characterization of AIGaN gan HEMTs

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Chapter 1 Introduction 1.1 Review on III-V semiconductor transistors The radio frequency (RF) semiconductor market is ever increasing due to the exploding development of cellular phones and satellite communications. The bulk of this market has been occupied by Si and GaAs. This however is likely to change in the near future as two other semiconductors emerge with greater capabilities. They are SiC and GaN [1], [2]. The comparison of GaN with the other materials is shown in Figure 1.1 [1-5]: all semiconductors, which are candidates for the RF applications are schematically indicated in a frequency-power diagram. For some semiconductors (Si, GaAs), this represents the current situation while for others (SiC, GaN) it represents the expected one in the near future. On the high frequency side, InP-based materials take advantage of small effective mass and high mobility to achieve record frequencies. On the low frequency side, Si prevails for moderate powers. Owing to the success of SiGe [6], the Si industry is now extending towards higher frequencies, about 2.5 GHz. SiC is performing well for high power and low frequency, but is, however, limited to frequencies of a few giga-hertz (GHz) only [1]. 1 GaN is in competition with SiC on its low frequency side and with GaAs on its low power/high frequency side (see Figure 1.1). Thanks to breakthroughs in technology that improve the thermal management (wafer fusion and flip chip, etc.) [7, 8], all technologies are moving towards higher power where heat dissipation is a prevailing barrier for optimum performance. RF Output Power 50W SiC GaN Si 10W SiGe GaAs InP 1 GHz 10 GHz 100 GHz Frequency Figure 1: Semiconductor materials for RF electronics. RF power is plotted against Frequency [1-5]. The domain of RF applications is wide and in rapid growth. As new applications emerge, there is a continuous shift towards higher frequencies. On the low frequency side, Si and GaAs benefit from the exponential development of cellular phone (0.8 and 1.9 GHz) [9]. At high frequencies, satellite and terrestrial communications (1.6, 2.5, 5.2, 23, 28 GHz) [9] and military applications (X-band, 8 to 12 GHz) represent a strong potential for development of GaN [9]. Applications at higher frequencies such as anticolliding radar (76 GHz) can also be implemented using GaN and InP [9]. The cost of RF systems depends on the power and frequency of use. As the frequency increases, the difficulty to coherently add the individual powers coming from different transistors increases. Dephasing has to be accounted for by a proper design and addition of passive elements such as capacitors can take up to three-quarter of the area on the wafer. As a result, high frequency high power modules are expensive. In addition, a 2 cooling system is usually required as high power applications generate substantial heat that deteriorates the device performance. The advantage of III-V semiconductors, which feature wide bandgaps, is tremendous. They provide a larger RF power per unit area, which simplifies the design, and also, they work well at elevated temperatures, which allows reduced peripherals for cooling. The power generated in each transistor under a large bias makes the impedance and power matching between different stages easier. This is especially so for the AlGaN/GaN transistor which has a characteristic impedance three times larger than its counterpart, AlGaAs/GaAs [21]. Thus, it is expected that using GaN rather than conventional III-V compounds such as GaAs will lead to the same performance at a lower price, or to a higher performance at a competitive price due to ease of design. The advantage of GaN over SiC is the possibility of making heterostructures. Such heterostructure has been demonstrated to produce two-dimensional electron gas (2DEG) at the heterojunction, and this makes possible several novel devices that can operate at frequencies beyond the capability of SiC. This is due to the mobility of the 2DEG at about 3 times higher than the carriers in SiC metal-semiconductor FETs, (MESFETs) [10]. Also, the 2DEG permits low resistance and low noise performance not possible in SiC. The state-of-the-art performance of MODFETs can be summarised by the following data collected from different devices: the average saturation drain-source current is about 1 A/mm in virtue of a large 2DEG sheet carrier density (1013cm-2) that is possible by the very large conduction band offset at the AlGaN/GaN interface [2], [11]. The transconductance can reach 270 mS/mm (for a 0.7µm gate length high electron mobility transistor (HEMT)) [12] and the highest unity-gain bandwidth (ft) and unity-power gain bandwidth (fmax) could reach 67 and 140 GHz [13], respectively. Extremely high breakdown voltage of 100V has been recorded, and power density of 10W/mm at 10 GHz has been attained due to good thermal management [14]. Up to now, the best solution for good thermal management has been the usage of bulk SiC as a substrate as it has a very high thermal conductivity constant of about 3 W/Kcm (10 times that of sapphire) [31]. It is crucial to note that the highest temperature drop occurs at the first micron below the 3 channel region. However, in order to obtain a decent quality GaN, it is necessary to grow more than one micron of GaN on the substrate (SiC or sapphire) [1]. As a result, the good thermal conductivity of SiC is not fully exploited. In the arena of high temperature electronic applications, until recent times, electronics was kept far away from heat sources. A temperature of up to 200°C was usually allowed simply because silicon-on-insulator (SOI) can work up to this temperature [37]. Semiconductors such as GaAs and InP, in general have low thermal conductivity, hence limiting operations at high power where much heat is dissipated due to large current flow, and this can drastically degrade performance. III-nitride semiconductor transistors however, have found their way to excel in performance over these materials as they are several times more thermal conductive than their counterparts. Domains of application include aerospace, automotive and terrestrial and high power transmitters in wireless communications. In general, the substrate conductivity is not an issue and both SiC and sapphire are well adapted. There have been many demonstrations of GaN transistors working in high temperature [15, 16]. A peak electron drift velocity of 1 x 107 cm/s at 750K and 3 x 107 cm/s at room temperature was demonstrated. [15]. Presented also were the results of the DC and RF measurements showing that these devices can operate at least up to 300°C. 1.2 Current Issues Field-effect transistors fabricated using the AlGaN/GaN heterostructure offer the potential to produce a class of devices with excellent DC and RF performance. Although the physics of the 2DEG that forms at the heterojunction is not completely understood at this time, it is clear that the sheet charge density is very high and of the order of 1013 cm-2, which is a factor of ten higher than that for the AlGaAs/GaAs 2DEG. The sheet charge density is higher than would be expected from the standard 2DEG theory, which indicates that additional physical effects are probably involved. Several explanations for this phenomenon using arguments such as spontaneous polarization and piezoelectric 4 polarization have been proposed [17]. The 2DEG at the AlGaN/GaN heterojunction has excellent charge transport characteristics and the saturation velocity has a magnitude of about 3 x 107 cm/s [2]. Room temperature mobility was found to be in the range of 1200 to 2000 cm2/Vs [18]. The combination of high sheet charge density and good transport characteristics, has resulted in high current capability for the transistor. This is in fact observed in AlGaN/GaN MODFETs where maximum channel current of over 1 A/mm is routinely obtained in experiments [19, 20]. Table 1.1 summarises the key material parameters [21] for AlGaAs/GaAs, 4H SiC and AlGaN/GaN transistors. It can be seen that with large energy bandgap, high breakdown voltage, high saturation velocity and good thermal conductivity, SiC and AlGaN/GaN devices have shown to be more promising candidates for high power and high frequency operations. Table 1.1: Summary of key material parameters for AlGaAs/GaAs, 4H SiC and AlGaN/GaN transistors [21]. Metric AlGaAs/GaAs 4H SiC AlGaN/GaN Energy Bandgap (eV) 1.43 for GaAs 3.2 3.4 for GaN 12.5 10.0 9.5 2-3 x 1012 N/A 1-5 x 1013 4 20 33 8500 N/A 2000 Saturation electron velocity (x107 cm/s) 1.0 2.0 3.0 Thermal conductivity (W/cm K) 0.53 4 1.3 Dielectric constant Maximum sheet charge concentration (cm-2) Breakdown field (V/cm) (x105) 2DEG mobility (cm2/Vs) Piezoelctric coefificients (C/m2) e31 0.093 e33 -0.185 -0.36 0.2 1.0 GaN-based transistors have also set state-of-the art performance for high output power density and have the potential to replace GaAs-based transistors for a number of high power applications [22]. The underlying reasons for the advances made with GaN devices again stemmed from the material properties inherent in the GaN-based material system. One of the key advantages is the high breakdown field of the wide bandgap GaN 5 and its relative materials such as AlGaN. This breakdown field is about 3.3 MV/cm, much higher that that of GaAs of 0.4 MV/cm. With such parameter, high drain bias operation is then possible due to high breakdown voltage. Together with the high output current driving capabilities, GaN-based transistors with high power output will then become viable. In high frequency applications, GaN has a very high saturation/peak velocity, and this is critical for achieving high cut off frequencies, and therefore is competitive with GaAs. Although the mobility of carriers in GaN is about 4 times lower than that of GaAs, it is sufficient for high frequency operations as the critical mobility for optimum RF power performance is approximately 500 cm2/Vs. [23] Moreover, the sheet charge-mobility product is higher owing to the high sheet charge concentration in the AlGaN/GaN 2DEG. This is vital for the development of low noise and high frequency transistors. Another important property of GaN-based materials is the ability to utilise bandgap engineering in the design of device structures. Modulation doped field effect transistor (MODFET) which utilises heterojunction design can result in higher sheet carrier concentrations, higher mobilities, better charge confinement, higher peak saturation drain currents, higher breakdown voltages, higher cutoff frequencies, etc. This is in view of exploiting the advantages of spontaneous and piezoelectric polarization induced sheet charge and the growth of low defects AlGaN barrier layers with high aluminium mole fractions. With this combination of high frequency operation, high breakdown voltage, and high drain current, GaN is an excellent candidate for high power microwave operations. Currently, numerous GaN-based MODFETs especially AlGAN/GaN, have been fabricated by researchers and the device performance was investigated. These included small signal microwave performance, dc performance, power performance, etc. A comparison of the cutoff frequency versus gate length reported by several organisations was compiled by C. Binari [24]. It was found that the cutoff frequency has an approximate negative proportion relationship with the gate length. In the report of C. Binari, the gate length ranges from 0.15 µm to 1 µm and the ft ranges from 15 GHz (for 1 6 µm) to 67 GHz (for sub-micron gate lengths). The highest reported values for ft and fmax are 67 and 140 GHz, respectively [13]. Refinements and optimization in material structure and device design can actually be done to produce an overall improvement in the AlGaN/GaN MODFET small signal performance. Recent intensive research on AlGaN/GaN MODFETs grown on sapphire substrate has resulted in a steady increase in power density from 1.1 W/mm at 2 GHz [25] to 1.5-1.57 W/mm at 4 GHz [26, 27] and 1.7 W/mm at 10 GHz [28]. The best power density ever reported is for HEMTs grown on a semi-insulating 4H-SiC substrate with 10 W/mm at 10 GHz and 4.1 W/mm at 16 GHz [29]. Total power results have also been pushed up to 7.6 W/mm achieved at 4 GHz for HEMTs grown on sapphire and flip-chip mounted on AlN heat sinks [30]. Such improvement has partially resulted from an increased understanding and application of the piezoelectric effect at the AlGaN/GaN interface that induces large sheet carrier concentrations, and also the of use of bandgap engineering to design and grow HEMT structures with larger Al mole fractions to allow a large sheet charge concentration to coexist with a higher carrier mobility [2]. Gates with high Schottky barrier height have also been fabricated, yielding a high gate-drain reverse breakdown voltage of more than –80V [32]. This is a result of using high Al mole fraction to effectively suppress thermionic gate leakage current at elevated temperature operations. GaN-based FET structures offer the potential of not only high power and high-speed operation, but also high temperature. This is found to be beyond those of Si and GaAs, which have operated up to 400 °C [33] and 500 °C [34], respectively. However, to date, the operation of GaN-based FETs has only been reported up to 750 °C for doped-channel (DC) AlGaN/GaN HFET [35], but none has been made for undoped channel HFETs up to such high temperatures. It has been proposed that AlGaN/GaN doped channel, DCHFETs performs better than undoped channel AlGaN/GaN HFETs at elevated temperatures because of a decrease in ionised impurity scattering [15]. This is due to a larger carrier concentration at the 2DEG, which acts to screen off the ionized impurity scattering from the AlGaN barrier layer. It was found by Binari et al. [36] that for the 7 doped-channel AlGaN/GaN HFET, an increase in temperature led to an increase in drain current. However, this phenomenon might not be true for undoped channel HFETs. 1.3 Motivation and Objectives of Current Project It is clear at this juncture that the GaN-based devices such as the AlGaN/GaN HEMT has many properties that make them attractive for high power microwave applications, and their performance is greatly dependent on the fabrication process, device structure and material parameters. In order to realize high performance AlGaN/GaN HEMTs for high power and high frequency applications, it is crucial to first develop and optimize a good fabrication process that is reproducible and cost effective. Development and optimization of fabrication processes such as the formation of ohmic contacts with very low specific contact resistance, and the laying of reliable gate metals with high Schottky barrier heights and low leakage currents are important. This is because good ohmic and Schottky contacts allow devices to deliver high output current at low knee voltage with low gate leakage current, which are needed for high power applications. High frequency measurements differ from those at low frequency, and it can only be realized by fabricating devices with dimensions specific to GHz probing. Hence, designing a set of high frequency photomask is necessary. To our best of knowledge, there have not been many reports on the simulation of AlGaN/GaN HEMTs. It is important to assess and quantify the performance that is realistically achievable in AlGaN/GaN HEMTs by studying optimal device geometry and material parameters. Through simulations, we can investigate the feasibility of possible new device structure designs to improve device performance, before implementing these appropriate designs and material parameters to actual wafer growth and fabrication of HEMT devices. In this way, we can save time and money by achieving as close to the required dc or rf performance without going through the process of trial and error on actual fabricated wafers. Significant improvements in the quality and performance of the AlGaN/GaN system can then be realized in this way. 8 It is therefore our objective to first, study the fabrication process of ohmic and Schottky contact formation on the AlGaN/GaN HEMT structure. We aim to achieve a low specific contact resistance of the order of 10-7 Ωcm2 or lower, an improvement from 10-6 Ωcm2 achieved by other research groups [85-87]. We shall be investigating the possibility of using surface treatment on the wafer and also etching procedures to achieve our objective. We are also studying methods to obtain Schottky diodes on AlGaN/GaN devices with minimal reverse leakage current, high barrier heights and good thermal stability. Till this date, little has been done in this area of research and information on thermal stability of Schottky contact on AlGaN/GaN HEMTs has not been published. It is then our aim to fabricate AlGaN/GaN HEMT devices for dc measurements and characterization before going into the designing of a set of photomask for high frequency and high power measurements. With the importance of simulation as mentioned earlier, we shall extend our study into the potential of AlGaN/GaN HEMTs by running simulations of a possible new AlGaN/GaN HEMT device structure and compare it with the performance of HEMTs with conventional device structure reported in literature. 1.4 Outline of Thesis Chapter 1 has presented an introduction to the current status of the research and development of the AlGaN/GaN HEMT. It has also spelt out the importance of the current project and the objective we hope to achieve at the end. In Chapter 2, the fundamentals of the GaN related materials and the theory of the AlGaN/GaN HEMT are described. The experimental procedures for the fabrication of ohmic contact, Schottky contact and AlGaN/GaN HEMTs are presented along with their characterizations in Chapter 3. In addition, the performance is discussed. The design of photomasks suitable for the making of HEMTs for high frequency and/or high power applications is considered in Chapter 4. Chapter 5 shows the simulation results of a new AlGaN/GaN HEMT structure that may surpass the performance of existing conventional device structures reported in literature. Last but not least, Chapter 6 presents the conclusions from the current work and some of the possible avenues for furthering the current research work. 9 Chapter 2 Theoretical study of GaN related semiconductors and devices 2.1 Introduction In this chapter, the material study of some nitride-based semiconductors is presented. It includes the crystal structure, the chemical, electrical and mechanical properties of materials such as GaN, AlN and AlGaN. It also introduces the basic device structure of the AlGaN/GaN HEMT and its properties and characteristics such as the formation of the 2DEG, the piezoelectric effect and the carrier transport mechanism. Finally, the measurement techniques for specific contact resistance and the Schottky barrier height are presented. 2.2 Crystal Structures of Nitrides Wurtzite (Wz), zincblende (ZB) and rocksalt structures are the three common crystal structures shared by group-III nitrides. Under ambient conditions, the thermodynamically stable structure is wurtzite for bulk AlN, GaN and InN. The zincblende structure for GaN and InN has been stabilized by epitaxial growth of thin films on {011} crystal planes of cubic substrates such as Si, MgO, and GaAs. In these cases, the intrinsic tendency to form the wurtzite structure is overcome by topological compatibility. The rocksalt, or 10 NaCl, structure can be induced in AlN, GaN and InN under very high pressures. The wurtzite structure has a hexagonal unit cell and thus two lattice constants, c and a. It contains six atoms of each type and consists of two interpenetrating Hexagonal Close Packed sublattices, each with one type of atom, offset along the c axis by 5/8 of the cell height. The zincblende structure has a unit cell containing four group III elements and four nitrogen elements. The position of the atoms within the unit cell is identical to the diamond crystal structure. Both structures consist of two interpenetrating face-centred cubic sublattices, offset by ¼ of the distance along a body diagonal. Each atom in the structure may be viewed as positioned at the center of a tetrahedron, with its four nearest neighbours defining the four corners of the tetrahedron. There are some similarities between the wurtzite and zincblende structure. In both cases, each group-III atom is coordinated by four nitrogen atoms, and conversely, each nitrogen atom coordinated by four group-III atoms. The main difference between these two structures lies in the stacking sequence of the closest packed diatomic planes. For the wurtzite structure, the stacking sequence of the (0001) plane is ABABAB in the direction, while the stacking sequence of the (111) plane in a zincblende structure is ABCABC in the direction. A stick and ball representation of wurtzite structure is depicted in Figure 2.1. The wurtzite and zincblende structures differ only in the bond angle of the second-nearest neighbour, (see Figure 2.2). 11 Figure 2.1: A stick and ball diagram of a hexagonal structure. Figure 2.2: Stick and ball stacking model of crystals with wurtzite (a) an zincblende (b) orientations. As shown clearly, the stacking order of the wurtzite along the [0001] c direction is ABAB, meaning a mirror image but no in-plane rotation with the bond angles. In the zincblende structure along the [111] direction there is a 60° rotation which causes a stacking order of ABCABC, Figure 2.2b. The wurtzite polytypes of GaN, AlN and InN form a continuous alloy system whose direct bandgaps range from 1.9 eV for InN, to 3.4 eV for GaN, to 6.2 eV for AlN. Thus, the III-nitrides could potentially be fabricated into 12 optical devices, which are active at wavelengths ranging from the red well into the ultraviolet. 2.3 Gallium Nitride 2.3.1 Chemical Properties of GaN Since the first synthesized GaN in 1932, a large body of information has repeatedly indicated that GaN is an exceedingly stable compound with a large bandgap and exhibits significant hardness. It is this chemical stability at elevated temperatures combined with its hardness that has made GaN an attractive material for high temperature and high power electronics. While the thermal stability of GaN allows freedom of hightemperature processing, the chemical stability of GaN presents a technological challenge. Conventional wet etching techniques used in the semiconductor processing have not been very successful for GaN device fabrication. For example, Maruska and Tietjen [38] reported that GaN is insoluble in H2O, acids, or bases at room temperature, but does dissolve in hot alkali solutions at very slow rate. Pankove [39] noted that GaN reacts with NaOH forming a GaOH layer on the surface, prohibiting wet etching of GaN. To circumvent this difficulty, he developed n electrolytic etching technique for GaN. Lowquality GaN has been etched at reasonably high rates in NaOH [40, 41], H2SO4 [42], H3PO4 [43-45]. Although these etches are useful for identifying defects and estimating their densities in GaN films, they are not very successful for the fabrication of devices. Well-established chemical etching processes are required for the device-technology development. Promising possibilities are the various dry-etching processes under development, and reviewed by Mohammad et al. [46]. 13 2.3.2 Thermal and Mechanical Properties of GaN In the hexagonal wurtzite structure, GaN has a molecular weight of 83.728 g/mol. At 300K, the lattice parameters of this semiconductor are a0 = 3.1892 ± 0.0009 Å and c0 = 5.1859 ± 0.0005 Å. However, for the zincblende polytype, the calculated lattice constant based on the measured Ga-N bond distance in the wurtzite GaN is a = 4.503 Å. The measured lattice constant of this polytype varies between 4.49 and 4.55 Å, indicating that the calculated value lies within acceptable limits [47]. A high-pressure phase transition from the wurtzite to the rocksalt structure has been predicted and observed experimentally. The transition point is 50 Gpa and the experimental lattice constant in the rocksalt phase is a0 = 4.22 Å. Table 2.1 compiles the known properties of wurtzite GaN. Table 2.1. List of the known properties of Wurtzite and zincblende GaN. Wurtzite Polytype Bandgap energy Eg (300K) = 3.42 eV Eg (4K) = 3.505 eV Temperature coefficient dEg/dT = -6.0 x 10-4 eV/K Pressure coefficient dEg/dP = 4.2 x 10-3 eV/kbar Lattice constant a = 3.189 Å c = 5.185 Å Thermal expansion ∆a/a = 5.59 x 10-6 /K ∆c/c = 3.17 x 10-6 /K Thermal conductivity κ = 1.3 W/cmK Index of refraction n(1eV) = 2.35 Dielectric constant εr = 10.4 Electron effective mass, me 0.22m0 Hole effective mass, mp >0.8m0 n(3.42eV) = 2.85 Zincblende polytype Bandgap energy Eg(300K) = 3.2—3.3 eV Lattice constant a = 4.52 Å Index of refraction n(3eV) = 2.9 It is interesting to note that the lattice constants of GaN grown with higher growth rates was found to be larger. When doped heavily with Zn [48], and Mg [49] a lattice 14 expansion occurs because at high concentrations, the group-II element begins to occupy the lattice sites of the much smaller nitrogen atoms. Measurements made over the temperature range of 300-900 K indicates the mean coefficient of thermal expansion of GaN in the c plane to be ∆a/a = 5.59 x 10-6 K-1. Similarly, measurements over the temperature ranges of 300-700 K and 700-900 K, indicates the mean coefficient of thermal expansion in the c direction to be ∆c/c = 3.17 x 10-6 K-1 and 7.75 x 10-6 K-1, respectively [38]. Sheleg and Savastenko [50] reported a thermal expansion coefficient near 600 K, perpendicular and parallel to the c-axis, of (4.52 ± 0.5) x 10-6 K-1 and (5.25 ± 0.05) x 10-6 K-1, respectively. Sichel and Pankove [51] measured the thermal conductivity of GaN for the temperature range of 25-360 K. The room temperature value of the thermal conductivity κ = 1.3 W/cmK is a little smaller than the predicted value of 1.7 W/cmK [52]. Other thermal properties of Wz-GaN have been studied by a number of researchers. The specific heat of Wz-GaN at constant pressure (Cp) is given by [53] Cp (T) = 9.1 + (2.15 x 10-3 T) [cal/mol K]. 2.4 (2.1) Aluminum Nitride AlN exhibits many useful mechanical and electronic properties. For example, hardness, high thermal conductivity, resistance to high temperature and caustic chemicals, make AlN an attractive material for electronic packaging applications. The wide bandgap is also the reason for AlN to be touted as an insulating material in semiconductor device applications. Piezoelectric properties make AlN suitable for surface-acoustic-wave device applications [54]. However, the majority of this semiconductor stems from its ability to form alloys with GaN producing AlGaN and allowing the fabrication of AlGaN/GaN based electronic and optical devices, the latter of which could be active from the green wavelength into the ultraviolet. 15 2.4.1 Thermal and Chemical Properties of AlN When crystallized in the hexagonal wurzite structure, the AlN crystal has a molar mass of 20.495 g. It is an extremely hard ceramic material with a melting point higher than 2000°C. The thermal conductivity κ of AlN at room temperature has been predicted at ≈ 3.2 W/cmK [55, 56], and values of κ measured at 300 K are 2.5 [57] and 2.85 W/cmK [58]. The measured thermal conductivity as a function of temperature is plotted in Figure 2.3. Figure 2.3: Thermal conductivity of single crystal AlN. (Ref: 57) The thermal expansion of AlN is isotropic with a room-temperature value of 2.56 x 10-6 K-1. The thermal expansion coefficients of AlN measured by Yim and Paff [59] have a mean value of ∆a/a = 4.2 x 10-6 K-1 and ∆c/c = 5.3 x 10-6 K-1. The equilibrium N2-vapour pressure above AlN is relatively low compared to that above GaN, which makes it easier to be synthesized. Similar to GaN but even more so, AlN exhibits an inertness to many chemical etches. The surface chemistry of AlN was investigated by Slack and McNelly [60] and it indicated that the AlN surface grows and oxide 50-100 Å thick when exposed to ambient air for about a day. However, this oxide layer was protective and resisted further decomposition of the AlN samples. 16 Table 2.2. List of the known properties of Wurtzite and zincblende AlN Wurtzite polytype Bandgap energy Eg (300K) = 6.2 eV Eg (5K) = 6.28 eV Lattice constant a = 3.112 Å c = 4.982 Å Thermal expansion ∆a/a = 4.2 x 10-6 /K ∆c/c = 5.3 x 10-6 /K Thermal conductivity κ = 3.2 W/cmK Index of refraction n(3eV) = 2.15 ± 0.05 Dielectric constant ε = 8.5 ± 0.2 n(3.42) eV = 2.85 Zincblende polytype Bandgap energy Eg (300K) = 5.11 eV Lattice constant a = 4.38 Å 2.4.2 Electrical Properties of AlN Electrical characterization on AlN has been limited to just resistivity measurements and not other measurements such as mobility because of some of its inherent properties. These include low intrinsic carrier concentration, and deep level defects and impurity energy levels. Kawabi et al. [61] conducted such a test and found the resistivity, ρ, of transparent AlN single crystals to be 1011 – 1013 Ωcm. However, impure AlN crystals which, showed a bluish colour due to the presence of Al2OC, have much lower resistivities of 103 – 105 Ωcm. The insulating nature of these AlN films has hindered meaningful studies on the electrical transport properties. However, with the availability of refined growth techniques, AlN is presently grown with much improved crystal quality and shows both n- and p-type conductions. Edwards et al.[61] and Kawabe et al.[62] carried out some Hall measurements on p-type AlN and found a rough estimate of the hole mobility to be, µp = 14 cm2 /Vs at 290 K. 17 2.5 Aluminum Gallium Nitride (AlGaN) alloy Good k nowledge of the compositional dependence of the barrier and well materials is a requirement in attempts to analyze heterosturctures in quantum wells and superlattice. In the nitride system, a wide scope of possible options is available for the construction of such structures. The barriers formed can be materials such as AlGaN or GaN; while depending on the barrier material, the wells can be constructed of GaN or InGaN layers. The energy bandgap of AlxGa1-xN may be expressed by Eg(x) = xEg(AlN) + (1-x)Eg(GaN) – bx(1-x), (2.2) Where Eg(GaN) = 3.4 eV, Eg(AlN) = 6.2 eV, x is the Al mole fraction, and b is the bowing factor which until now has controversial values. Yoshida et al. [63] concluded in their studies that as the Al mole fraction increases, the energy bandgap of AlxGa1-xN deviates upwards from a graph of Eg vs x when b = 0. This implied a negative value for the bowing factor, b. In contrast, Koide et al. [64] observed that the bowing factor is positive as they concluded a downward deviation that is opposite to that of Yoshida. The resistivity of unintentionally doped AlGaN increases rather rapidly with increasing Al mole fraction, so much so that AlGaN becomes almost insulating for Al fraction exceeding 20%. As the Al mole fraction increases from 0 to 30%, the n-type carrier concentration drops from 1020 to 1017 cm-3, and the mobility increases from 10 to 30 cm2/Vs. An increase in the native defect ionization energies with increasing Al mole fraction may be the explanation for this variation. It is still not known how the dopant atoms such as Si and Mg respond to the variation of the AlN mole fraction in AlGaN. AlGaN with Al mole fraction as high as 50-60% is dopable by both n-type and p-type impurity atoms. Until now, a low Al mole fraction of about 15% is sufficient for good optical field confinement. 18 2.6 Substrates for Nitride Epitaxy Of the many challenges faced in the research of GaN, one of the major difficulties is the lack of a suitable material that is lattice matched and thermally compatible with GaN. GaN, AlN and InN have been grown primarily on sapphire, most commonly the (0001) orientation. In addition, III-nitrides have also been grown on Si, SiC, InP, ZnO, TiO2, and LiGaO2. 2.7 The AlGaN/GaN High Electron Mobility Transistor 2.7.1 The structure of the conventional n+ - AlGaN/GaN HEMT The cross section of a conventional HEMT is shown in Figure 2.4. The source and drain contacts and the gate metallization are analogous to those in either Si-MOS or the compound semiconductors, such as GaAs MESFET devices. The epitaxial layer structure of the AlGaN/GaN HEMT grown and fabricated is illustrated in Figure 2.5. The device is grown on a AlN buffer layer to reduce the lattice mismatch of 49% between the GaN channel layer and the sapphire substrate. The layers grown, from bottom to top are, a sapphire substrate, an AlN buffer layer, an undoped GaN “channel layer”, an undoped AlGaN “spacer layer”, a n-doped AlGaN “donor layer” and finally an undoped AlGaN “cap layer”. The role of each layer will become apparent in this section. The thickness of individual layers and their doping have a direct influence on the device properties and the performance of the HEMT. The gate lengths and the source-drain distance may vary according to speed, application, and yield requirements. 19 Gate Source Drain + n AlGaN Undoped AlGaN Undoped GaN 2DEG AlN Sapphire Substrate Figure 2.4: Schematic of a conventional AlGaN/GaN HEMT EF 2DEG 100Å Gate Metal n+-AlGaN Donor Layer AlGaN Spacer Layer GaN Channel Layer Al2O3 Substrate Figure 2.5: Epitaxial layer structure and conduction band diagram for a HEMT under positive gate bias. 20 2.7.2 Heterostructures in Semiconductors In conventional semiconductor devices, only one type of semiconductor material is used in the fabrication of the devices. Control of current flow is achieved by creating a junction within the device structure. Such device is called a homostructure, and one such example is Si-based metal-oxide-semiconductor (MOS), or the bipolar-junction transistor (BJT). If more than one semiconductor is used, causing a change in the energy bands within the structure, this type of devices is termed a heterostructure. The ability to customize the energy-band structure adds flexibility to the design of new devices based on doping and material variations in the various layers. These changes in the energy band provide an additional means, independent of doping and applied external fields, to control the flow and distribution of the charge carriers throughout these devices. When two semiconductor materials with different bandgaps are joined together to form a heterojunction, discontinuities in both the conduction and valence band edges occur at the heterointerface. For the HEMT, the wide-bandgap material, for example AlGaN, is ndoped with Si donors. The added charges bend the band edges and create a triangular potential well in the conduction-band edge of the lower bandgap material, for example, GaN. Electrons accumulate in this well and form a sheet charge analogous to the inversion channel in an SiO2/Si MOS structure. The thickness of this channel is typically only 100 Å, which is much smaller than the de Broglie wavelength of the electrons in GaN which is given by λ = h/(2mn*kT)1/2. Hence the electrons are quantized in a twodimensional system at the interface, and so the channel of the HEMT is called a twodimensional electron gas (2DEG). An advantage to such a device structure is the physical separation between the donors and the electrons in the channel layer, thus reducing the impurity Coulombic scattering and, therefore, enhances the mobility as well as the effective velocity of the electrons under the influence of an electric field. 21 2.7.3 Equations for the Two-dimensional Electrons as the current carriers. Within the framework of the effective mass approximation, the electronic subband energy levels, Ei, and the corresponding envelope wavefunction are the solutions of the Schrödinger equation given by Stern et al. [65]: [T – eV(z)] ψ(x,y.z) = Eψ(x,y,z) (2.3) where T is the kinetic energy operator, and V(z) is the electrostatic potential which in turn is found from the solution of the Poisson equation: ρ ( z) d 2V ( z ) =− 2 ε dz (2.4) with the boundary conditions: dV ( z ) = 0, dz as z → ± ∞ (2.5) dV ( z ) dz (2.6) and εA dV ( z ) dz z=d − =εB z = d1+ Here εA and εB are the static dielectric constants of the barrier and the channel layers, respectively. ρ(z) is the charge density in both the depletion layer and the channel layer: ρ(z) = e[n(z) – p(z) + NA – ND] (2.7) where n(z) and p(z) are the densities of the electrons and holes, and NA and ND are the densities of the ionized donors and acceptors, respectively. 22 Since the electrostatic potential, V(z), given by equation (2.4), is only a function of the z coordinate, the envelope function ψ(x,y,z) can be written as (Stern et. al): ψ(x,y,z) = ξi(z)exp(iθz)exp(ikxx + ikyy) (2.8) where kx and ky are the x and y components of the wavevectors measured relative to the band edge, θ is the superlattice wavevector, and ξi(z) is the solution of Schrödinger equation which describes the one-dimensional bound motion: η2 d 2 − − eV ( z ) ξ i ( z ) = E i ξ i ( z ) 2m z dz 2 (2.9) where the boundary conditions are: ξi(z) = 0 for z = ± ∞. (2.10) Here mz is the principal effective mass for the electron motion perpendicular to the interface. The two-dimensional free motion of the electrons can be described by the Schrödinger equation: − η2 ∂ 2 η2 ∂ 2 − exp(ik x x + ik y y ) = E x , y exp(ik x x + ik y y ) 2m x ∂x 2 2m y ∂y 2 (2.11) where mx and my are the principal effective masses for the motion parallel to the interface, obtained from the bulk masses (Stern et. al). Each eigenvalue Ei of (2.9) is the bottom of a continuum of energy levels called a ‘subband’. The subbands can be grouped into ladders with respect to the bulk conduction band minimum from which they originate. Each subband energy level is found from the solution of (2.9) and is given by: 23 E i (k ) = E i + 2 2 η2 k x2 η k y + 2m x 2m y i = 0, 1, 2,... (2.12) representing the subband energy levels arising from the conduction valley with the electron mass mz for the motion perpendicular to the interface. Equation (2.12) states that a continuum of the allowed states is associated with the subband level Ei, which implies the model of the two-dimensional electron gas (2DEG) as well as the concept of 2D subbands. In order to progress further from the solution of equations (2.3) and (2.4) to determine the band bending, one has to specify the electrostatic potential. Once V(z) is specified, one must solve the Schrödinger equation (2.3) and the Poisson equation (2.4) self-consistently (Stern et al). One can, however, find a satisfactory physical picture for some limiting cases. The simplest cases are illustrated in Figure 2.6a, representing an infinite square well (e.g. (AlGaN/GaN/AlGaN heterostructure), and 2.6b, representing a triangular (asymmetric) well (e.g., (AlGaN/GaN) heterostructure). V(z) V(z) ∞ ∞ ∞ i=2 i=2 i=1 i=1 i=0 i=0 Z -LZ (a) 0 LZ Z 0 (b) Figure 2.6: Schematic diagram showing eigenenergies and wavefunctions for (a) an infinite square well and (b) a triangular well. 24 (i) Infinite square well - eV(z) = 0 for –Lz < z < Lz (2.13a) - eV(z) = ∞ for |z| > Lz (2.13b) Since wavefunction must vanish at z = ± Lz the Bohr-Sommerfeld quantization conditions yields (Landau et al.) [66]: Lz − Lz dz = ηπ (i + 1) (2.14) 2m z E i which gives: Ei = η2 π 2 (i + 1) 2 2 8m z L z i = 0, 1, 2,... (2.15) (ii) Asymmetric triangular well -eV(z) = eFsz for z ≥ 0 (2.16a) -eV(z) = ∞ for z < 0 (2.16b) where Fs is the effective electric field at the interface. Then the Bohr-Sommerfeld quantization condition gives (Landau et. al): ∞ 0 1 ( E i − eFs z ) 2 dz = ηπ (i + 3 / 4) 2m z (2.17) and the solution of this equation gives the energy levels quantized in the z-direction as: 25 η2 Ei = 2m z 1/ 3 3π eFs 2 2/3 (i + 3 / 4) 2 / 3 i = 0, 1, 2,... (2.18) For GaN we have: E0 = 2.39 x 10-6 F2/3 eV E1 = 4.20 x 10-6 F2/3 eV The total density of states of the two-dimensional electron gas is (Stern et. al): ρ 2D (E) = m* πη 2 H ( E − Ei ) (2.19) i where m* = mx = my, and H is the step function: H(x) = 0 for x < 0 (2.20a) H(x) = 1 for x ≥ 0. (2.20b) The distribution of the two-dimensional density of states is shown in Figure 2.7 for the case of infinite square well. 26 2D Density of States E1 E2 E3 Energy Figure 2.7: Quantization in the z direction with dispersion in x and y directions leading to a stepped cumulative density of states with increasing energy. 2.7.4 Piezoelectric effects on the 2DEG in AlGaN/GaN heterostructure The group III nitrides possess a large spontaneous and piezoelectric polarization. The presence of this strong polarization is supported by both theoretical calculations of its existence and the large electron concentrations which result at the 2DEG of the AlGaN/GaN heterojunctions in the transistor structures. Simple models [67-69] have been used to calculate the electron concentration at a single heterointerface and support the hypothesis that the 2DEG found at the interface is induced by polarization effects, apart from the diffusion of electrons from the donor layer as mentioned in the previous section. The polarization present in the group III-nitrides such as GaN-based alloys, is due to the lack of inversion symmetry along the c-axis of the wurzite crystal structure. In relaxed material there exist a built-in or spontaneous polarization [67], and this polarization is dependent on the Al mole fraction, x, with the following relation PSP(x) = (-0.052x – 0.029) C/m2. (2.21) 27 This polarization points toward the substrate for Ga-face material and points toward the surface in N-face material. The polarization in the material can be changed by placing it under strain. This change is polarization is commonly called the piezoelectric polarization and is given by: PPE = 2 a − a0 C e31 − e33 13 a0 C 33 (2.22) where a is the lattice constant under strain, and a0 is the lattice constant of the relaxed material. The constants e31 and e33 are piezoelectric constants and C13 and C33 are elastic deformation constants. The total polarization in a given layer is simply the sum of the spontaneous and piezoelectric polarization, P = PSP + PPE. The constants used are shown in Table 2.3 [67], [70]. At a heterojunction there is usually a change in the polarization on each side. This abrupt change in polarization causes a bound sheet charge. In general, the bound sheet charge is the polarization of the bottom layer minus the polarization of the top layer, σ = P(bottom) – P(top). Table 2.3: The constants used to calculate the polarization in III-nitride layers. PSP is the spontaneous polarization. e33 and e31 are piezoelectric constants. C13 and C33 are elastic deformation constants and a0 is the lattice constant. AlN GaN InN PSP (C/m2) -0.081 -0.029 -0.032 e33 (C/m2) 1.46 0.73 0.97 e31 (C/m2) -0.60 -0.49 -0.57 C13 (GPa) 108 103 92 C33 (GPa) 373 405 224 a0 (Å) 3.112 3.189 3.54 Figure 2.8 shows the polarization situation for both Ga-face and N-face material for an AlGaN/GaN HEMT structure. In a Ga-face structure, a positive bound charge is created at the deeper interface, which causes the formation of a 2DEG at the lower interface. In 28 the N-face material, the positive bound charge is present at the upper interface and the 2DEG will be formed there. Ga-face N-face PSP -σ Tensile strain PSP PPE +σ PSP Figure 2.8: PSP GaN AlGaN PSP 2DEG PPE +σ Tensile strain -σ 2DEG GaN PSP The direction of polarization and the location of the 2DEG in Ga-face and N-face AlGaN HEMTs. In both cases, the AlGaN layer is under tensile strain leading to both a spontaneous and piezoelectric component to the polarization. For Ga-face material the direction of polarization causes the formation of a 2DEG at the lower interface. In the N-face material the direction of polarization is reversed causing the 2DEG to form at the upper interface. The polarization induced sheet charge density, σ, is in fact dependent on the Al mole fraction, x, an it can be calculated by the following: |σ(x)| = |PPE(AlxGa1-xN) + PSP(AlxGa1-xN) – PSP(GaN)| σ ( x) = 2 C ( x) a ( 0) − a ( x ) e31 ( x) − e33 ( x) 13 + PSP ( x) − PSP (0) a ( x) C 33 ( x) (2.23) Hence, by increasing the Al-content of the AlGaN donor layer, the piezoelectric and spontaneous polarization of AlGaN will increase. However, this increase is non-linear. A σ/e of 1 x 1013 cm-2 is reported for an Al-content of 0.18. 29 With this high positive polarization induced sheet charge at the AlGaN/GaN interface for Ga-face material, the maximum possible sheet carrier concentration found at the 2DEG at the interface of the unintentionally doped structure is [71]: n S ( x) = ε ε ( x) + σ ( x) − 0 2 [eΦ b ( x) + E F ( x) − ∆E C ( x)] e de (2.24) where d is the width of the AlGaN donor layer, eΦb is the Schottky barrier of a gate contact, EF is the Fermi-level with respect to the GaN conduction-band edge energy, and ∆Ec is the conduction band offset at the AlGaN/GaN interface. To determine the sheet carrier concentration from the polarization induced sheet density from equation (2.24), the following approximations were used: dielectric constant: ε(x) = -0.5x + 9.5, (2.25) Schottky barrier [72]: eΦb = (1.3x + 0.84) eV, (2.26) Fermi energy [73]: E F ( x) = E 0 ( x) + πη 2 m * ( x) n S ( x) (2.27) where the ground subband level of the 2DEG is given by: n S ( x) 9πηe E 0 ( x) = 8ε 0 8m * ( x) ε ( x) 2 2/3 (2.28) 30 with effective electron mass, m*(x) ≈ 0.22me, band offset [74, 75]: ∆Ec = 0.7[Eg(x) – Eg(0)], (2.29) where the band gap of AlGaN is measured to be [76]: Eg(x) = xEg(AlN) + (1-x)Eg(GaN) – x(1-x)1.0 eV (2.30) = x6.13 + (1-x)3.42 – x(1-x)1.0 eV 2.8 Carrier Transport Current conduction and thus the resistance of the semiconductor material and device, is determined by the ease with which the carriers can traverse through the structure. As the carriers travel through a semiconductor, they undergo a variety of interactions with the host material. In a perfect static crystal, carriers would accelerate indefinitely by the applied electric field. However, semiconductor crystal contains defects, intentionally added impurities, and even at very low temperatures, the semiconductor is in constant motion and far from being static. Therefore as carriers travel through the semiconductor, they experience various events referred to as scattering, the most effective of which are by charged impurities and/or centers, and by lattice vibrations. An additional scattering mechanism is that due to charged dislocations, which can be partially screened at high doping levels. There are many types of lattice vibration such as acoustic and optical. In covalent polar semiconductors as GaAs and GaN, the Longitudinal Optical (LO) polar optical phonon scattering is the dominant scattering mechanism associated with lattice vibrations. The ionic nature of the bonds in these semiconductors is such that, as the neighboring atoms move away from one another, an electric polarization results, which causes an electric 31 field to form. This field interacts with a moving charge particle, which is termed the polar optical phonon scattering, and dominates the mobility at high temperatures. Moreover the lack of center symmetry in compound semiconductors, particularly wide-bandgap nitrides, causes them to be piezoelectric in which phonons scatter electrons. The conductivity of a semiconductor is determined not only by the number of available free carriers but also the freedom with which those carriers can move about within the crystal. This freedom of movement is known as carrier mobility. It is function of lattice temperature, the electric field, the doping concentration, and the material quality of the semiconductor, which determines the amount of defects and dislocations. The effective electron concentration and ionized impurity concentration primarily affect the low temperature mobility values. At higher temperatures, e.g. room temperature, the mobility values are primarily determined by polar optical phonon scattering. At low sheet carrier densities, these scattering processes are screened, which explains the increase in mobility to more than 2000 cm2/Vs for ns>1013 cm-2. At very high sheet carrier densities, the average distance of the 2DEG to the AlGaN/GaN interface becomes smaller due to occupation of higher energy subbands. Depending on the surface quality, this can decrease the mobility significantly due to increase in interface roughness scattering [77]. 2.9 Current Flow in Metal-Semiconductor Junctions In cases when defects are not involved, there are three mechanisms [78, 79] that govern the current flow in a metal-semiconductor system. 2.9.1 Thermionic Emission This applies to moderately doped semiconductors, ND < ≈ 1017 cm-3, where the depletion region is relatively wide. It is nearly impossible to tunnel through the barrier unless aided by defects, which are considered not to exist in this ideal picture. The electrons, however, 32 can surmount the top of the barrier, which should be small for contacts, by thermionic emission. For low-doped or high-barrier semiconductors, on the other hand, the vast majority of electrons would be unable to cross in either direction into the semiconductor; and ohmic behavior is not observed. 2.9.2 Thermionic-Field Emission (TFE) For intermediately doped semiconductors, ≈ 1017 < ND < 1018 [cm-3], the depletion region is not sufficiently thin to allow direct tunneling of carriers that are more or less in equilibrium. But if the carriers gain a little energy, they may be able to tunnel. Consequently, both thermionic emission and tunneling take place. 2.9.3 Field Emission (FE) For heavily doped semiconductors, ND > ≈ 1018 cm-3, the depletion region is narrow, and direct electron tunneling from the metal to the semiconductor is possible. In the absence of a good match between the metal and the semiconductor work functions, which is generally the case, this is the best approach to pursue for ohmic contacts. Figure 2.9 illustrates the 3 ways of current flow at the metal-semiconductor interface. (a) qΦb Ec TE EF Ev 33 (b) TFE qΦb (c) qΦb FE Figure 2.9: Schematic description of (a) the thermionic emission, (b) thermionic field emission, and (c) direct tunneling field emission. 2.10 Contact Resistance Measurement The contact resistance measurement is used to measure the degree of ohmic behavior of the metal contact on the semiconductor, or simply how well current is flowing across the metal-semiconductor interface. The contact resistance of HEMTs are measured using the transmission line method (TLM) developed independently by Berger [80] and Murrman and Widmann [81] and described in detail by Berger [82]. A schematic diagram of the TLM is shown in Figure 2.10 where d is the contact length. In using this model, it was assumed that (a) the interfacial resistance, given by the shunt conductance, is independent of the sheet resistance Rs of the semiconductor layer beneath the contact, and (b) only the horizontal current flows in the semiconductor layer beneath the contact. For the case in which the width of the metal (w) is nearly equal to the width of the mesa (W) or for W the contact resistance of the TLM is: 34 Rc = Vi/Ii = Z coth (αd), (2.32) where Z is the characteristics impedance, d is the length of the contact area and α is the attenuation constant α = √(Rs/ρc), and Rs and ρc are the sheet resistance and specific contact resistivity, respectively. W w Metal pads Mesa d Figure 2.10: Schematic diagram of the TLM pattern. The accuracy of the specific contact resistivity ρc measured by TLM depends on the accuracy of the electrical and dimensional measurements of the TLM device and on the validity of assumptions made in the analysis. For example, the resolutions of the dimensional measurements of the width and length of the contacts and their separation are limited to 1µm if one uses the optical microscope. TLM patterns are fabricated with rectangular metal pads of 100 µm wide and 50 µm long, and separated by a gap varying from 5 µm to 30 µm. Once the mesas are defined, the resistance between the two contacts can be measured using a four-point probe arrangement which results in current flow between two probes, while the measuring voltage with the other two to eliminate any error due to the contact resistance between the probe and the metal contact. Using the method of least squares to fit the experimental data with a straight line, one can determine the contact resistance Rc from the plot of the total resistance between two metal pads Rt versus gap length (the distance between the contacts). 35 2.11 Schottky Barrier Height Measurement The following thermionic emission equation can be used to analyze the I-V characteristics of a Schottky contact - I = I 0 exp − qVd qVd 1 − exp nkT kT (2.33) where I0 = saturation current: I 0 = AA *T 2 exp − qφ b kT (2.34) where Vd = potential difference across the diode (= V – IR, where R is the series resistance) n = ideality factor, A** = effective Richardson’s constant, A = device area, φb = barrier height, T = temperature in Kelvin. When Vd > 3kT/q, equation (2.33) approximates to: I d ≅ I 0 exp qV d nkT ln I d = ln I 0 + qV d nkT (2.35a) (2.35b) 36 Hence by plotting ln I versus Vd, I0 and n can be obtained and used to solve equation (2.34) to find the required barrier height, φb. 37 Chapter 3 Fabrication of the AlGaN/GaN HEMT 3.1 Introduction This chapter outlines the processing issues undertaken in the fabrication of AlGaN/GaN HEMTs. In particular, we address the issues concerned in the formation of good ohmic contact on the source and drain regions, and the Schottky gate contact. This is followed by the reporting of the successful fabrication of big dimension AlGaN/GaN HEMTs and their dc characterisations. Another issue involved in this study includes the effect of long term heat treatment on the dc characteristics of the AlGaN/GaN HEMT and the Schottky contact. 3.2 Ohmic Contact Many research groups have reported ohmic contact metallization on AlGaN/GaN HEMTs by annealing as-deposited metal layers on the surface of the n-AlGaN [1-3]. The formation of low resistance ohmic contact on structures with high Schottky barrier height surface layer such as n-GaN (and/or AlGaN) requires a heavily doped region near the metal contact to facilitate carrier tunneling. However, the formation of these low resistance ohmic contacts appears to be a complex process as it involves solid-state interfacial reactions between metal and the adjoining semiconductor layer(s). These reactions are dependent on the thickness and composition of the n-doped-nitride epi-layer and the annealing conditions, and annealing is essential to these solid-state reactions. 38 Owing to the complexity of these reactions, annealing at high temperatures of 800°C to 900°C [1-3] could possibly be insufficient to allow the metal layers to completely react with the AlGaN barrier layer to reach the two-dimensional electron gas (2DEG) [4], which forms the channel of the HEMT device. In such cases, the unreacted AlGaN layer would then serve as a barrier for tunneling effect and hence increase the contact resistance. We report the electrical properties of Ti/Al/Pd/Au metal contacts on induction coupled plasma (ICP) etched AlGaN/GaN HEMT. This Ti/Al based metal system is used as it has been reported to form good metal-semiconductor interface on AlGaN/GaN surfaces for good carrier transport across the interface [5]. Pd is used as the third layer as it is capable of preventing Au from in-diffusing towards the Ti/Al-GaN interface which may lower the contact resistance at the metal-semiconductor interface [6]. The effects of annealing temperature and the gas composition of ICP etching on the ohmic behaviour are also reported. 3.2.1 Experimental Procedure The AlGaN/GaN HEMT epi-layer structure used in this project was grown by SVT Associates, Inc. and based on their design [7]. It is shown in Figure 3.1 and grown on (0001) sapphire substrate using the molecular beam epitaxy (MBE) technique. It consists of, starting from the bottom, a 400nm AlN nucleation layer, a 1.5µm unintentionally doped (UID) GaN channel layer followed by a 5nm unintentionally doped AlGaN spacer layer, a 5nm AlGaN donor layer doped with Si to a concentration of 1x1019 cm-3, an UID 15nm AlGaN layer and finally a 2nm UID GaN cap layer to protect the AlGaN cap layer from oxidation. The aluminium mole of AlGaN is 0.15. We named this HEMT structure design “α” to differentiate from another AlGaN/GaN HEMT structure design to be introduce later which is called the “conventional AlGaN/GaN HEMT structure”. The HEMT epi-wafer was first cleaned using organic solvents by placing the wafer in boiling Acetone for 10 minutes, followed by ultrasonic treatment in Methanol for another 10 minutes, and finally rinsed by DI water. This was followed by mesa structure formation using Inductively Coupled Plasma (ICP) etching with Cl2/Ar gas chemistry. 39 The contact pads of the Linear Transmission line method (LTLM) patterns were then laid on electrically isolated mesas using typical photolithography procedure. The wafer was scribed and cleaved into several samples using a diamond cutter and they were grouped into 3 main groups for ICP etching to 3 different target depths (details are described in Section 3.2.3) before ohmic metallization. 2nm UID GaN cap layer 15nm UID AlGaN barrier layer 5nm doped AlGaN 1x1019 cm-3 donor layer 5nm UID AlGaN spacer layer 2DEG 1.5µm UID GaN channel layer 400nm AlN nucleation layer Sapphire Substrate Figure 3.1: The AlGaN/GaN HEMT device structure α used in our project. 3.2.2 ICP Etching The ICP etcher used in our study is a PlasmaTherm 790 reactor with a load-locked stainless-steel chamber system. It has a 1kW ICP power source operating at 2MHz to control the ion flux, and a 500W RIE power source operating at 13.6MHz to control the ion energy. This is connected to a liquid nitrogen-cooled wafer chuck where the substrate is located. The cooling liquid nitrogen is used to bring the temperature of the sample to a very low temperature region (down to sub-zero degrees) to prevent the baking effect and 40 also to provide good process control during long etching processes such as that for the formation of mesa structures. 3.2.3 The Target Etch Depths The various targeted etched depths are shown in Figure 3.2. Group 1 samples (designated 1/1) were intended to be subjected to a very slight ICP etching or commonly referred to as surface treatment. We proposed to apply 300W of RIE power with Cl2/Ar (20/5sccm) gas combination for a brief 30s at a pressure of 5mTorr and the wafer chuck temperature maintained at 10°C. The ICP etching was done to induce ion bombardment on the surface of the HEMT structure. 2nm GaN -cap layer 15nm undoped AlGaN 5nm doped AlGaN 5nm undoped AlGaN GaN - channel layer 2DEG Figure 3.2: Targeted etched depths of: (a) Group 1 (solid line) - only surface treatment, approx 2nm from the surface; (b) Group 2 (double solid lines) - approximately 18nm from the surface and is targeted to reach the Si-doped AlGaN layer; and (c) Group 3 (double dotted lines) - approximately 33nm from the surface and is targeted to reach the 2DEG near the AlGaN/GaN interface. Group 2 samples (designated 2/1 and 2/2) were planned to have the regions, where ohmic contact pads were to be laid, etched down to a depth of about 18nm from the surface. This depth has been chosen because it is where the n-doped AlGaN layer is. In addition, it is closer to the AlGaN/GaN interface, where the 2DEG is located. Finally, the etched depth of Group 3 samples (designated 3/1) was targeted to reach the AlGaN/GaN 41 interface where the 2DEG is located so as to allow the metal layers to contact the 2DEG channel directly. 3.2.4 ICP etch conditions used to achieve target depths Since the target depths are very shallow (tens of nanometers), the conditions used in the etching should allow the process to be as slow as possible and well controlled. To the best of our knowledge, there has not been any reports on the etch rate of AlGaN that we can use as reference, hence we started with the following ICP recipe: Gas used: Cl2 (20 sccm) / Ar (5 sccm) Pressure: 5 mTorr Temperature: 10 °C The above etch conditions were adhered to throughout the experiments, while the RF power 1 (RIE power) and RF power 2 (ICP power) were varied to achieve the objective mentioned above. Since the layer structure is very thin and consists of a series of 2 different materials, namely GaN and AlGaN then GaN again, it has been difficult to obtain an accurate etch rate for each material in this etching exercise. Hence, we have decided to achieve the desired etch depths by trial and error on buffer wafers instead of using the actual samples 1/1, 2/1, 2/2 and 3/1, which were kept for the fabrication of LTLM structures for ohmic contact measurements subsequently. After etching, the buffer wafers were placed under the atomic force microscopy (AFM) for observation and their etch depths were measured. After many experiments, the best possible results are shown in Table 3.1 42 Table 3.1: The etch conditions used on buffer wafers to achieve the desired etch depths. Buffer wafer 1 was subjected to surface treatment while buffer wafer 2, 3 and 4 were subjected etching to a certain desired depth, and only their etch time was varied. The measured bias voltage (DCV) value fluctuation during ICP etching was minimal. Buffer Wafer RIE Power (W) ICP Power (W) Cl2/Ar gas flow (sccm) Pressure (mTorr) Temp. (°C) DCV (-V) Etch time (s) Etch depth (nm) 1 300 0 20/5 5 10 538 30 2.0 2 30 250 20/5 5 10 87 50 18.35 3 30 250 20/5 5 10 89 55 19.71 4 30 250 20/5 5 10 88 100 34.3 3.2.5 Fabrication of LTLM structures for specific contact resistance measurements The results from Table 3.1 were used as our reference etch conditions for etching the samples 1/1, 2/1, 2/2 and 3/1 which were reserved for the fabrication of LTLM structures. Table 3.2 tabulates the etching parameters for the desired etch depths of the samples. After the ICP etch, the samples were immediately loaded into the Edwards e-beam evaporation system equipped with dry pumps with the chamber pressure brought down to 10-7 Torr. The Ti(20nm)/Al(200nm)/Pd(60nm)/Au(100 nm) metallization scheme was then evaporated onto the samples which were subsequently subjected to rapid thermal annealing (RTA) at various temperatures. Probing of the LTLM structures to obtain the IV characteristics for contact resistance measurements was carried out by means of a HP 4145 semiconductor analyzer. Table 3.2. Etch depths of the LTLM structure on the AlGaN/GaN HEMT prior to metallization. Group/ Sample RIE Power (W) ICP Power (W) DCV (-V) Time (s) Average etched depth (nm) 0 541 30 1.8 2/1 30 250 87.5 30 12.2 2/2 30 250 89 55 18.35 3/1 30 250 85 100 34.3 1/1 300 43 3.2.6 Results and Discussions Group 2 has 2 samples included in the Table 3.2, 2/1 and 2/2. Sample 2/1 has been etched to the undoped AlGaN barrier layer, while sample 2/2 was etched to the doped AlGaN region. It is important to note that the RIE power was kept to a minimum (30W, just sufficient for the plasma to be induced), as this slows down the etch rate to provide better control of the etch process. We have also determined that with RIE power of 30W and ICP power of 250W, AlGaN has an etch rate of approximately 21.27 nm/min. Figure 3.3 shows the IV characteristics of the 4 samples described in Table 3.2. It is clear that sample 2/2 produces the best ohmic behaviour, followed by sample 2/1, 1/1 and finally 3/1. This shows that the process conditions, specifically the etch depth for sample 2/2, produce better ohmic behaviour. Further confirmation was provided by the measurements of the specific contact resistance using the fabricated LTLM structures. 0.04 0.03 Current (A) 0.02 0.01 0 -4 -3 -2 -1 -0.01 0 1 2 3 4 -0.02 -0.03 -0.04 Voltage (V) Figure 3.3: Effect of etch depth on ohmic behaviour: Sample 1/1 (diamonds) has only RIE surface treatment, Sample 2/1 (squares) has been etched to the undoped AlGaN layer, Sample 2/2 (triangles) has been etched to the n-doped AlGaN layer, and Sample 3/1 (crosses) has been etched to AlGaN/GaN interface. Figure 3.4 shows the LTLM result of the sample with the best ohmic behaviour (sample 2/2) as gathered from Figure 3.3. It is a plot of the total resistance between two contact pads of the LTLM patterns, Rtot, versus the gap between the two contact pads. (Please refer 44 to section 2.10 for LTLM description). The LTLM calculations are based on the following formula: Rc = (Rtot – 2 Rprobe) / 2 ρc = Rc2 W / gradient where Rtot is the y-intercept of Figure 3.3, and Rprobe is the resistance of the probes and is measured to be approximately 16.43Ω. We obtained a low specific contact resistance of ρc = 1.08 x 10-7 Ωcm2 for sample 2/2 despite using a comparatively low annealing temperature of 650°C, compared to that typically used in the literature (800°C to 900°C). The specific contact resistance value is comparable to the best figures reported elsewhere [8, 9]. The specific contact resistances for samples 1/1, 2/1 and 3/1 are similarly obtained as 1.24 x 10-5 Ωcm2, 8.34 x 10-6 Ωcm2 and 1.79 x 10-4 Ωcm2, respectively. These results are summarized in Table 3.3 to show the correlation between etch depth and specific contact resistance. 450 400 Rtot (ohm) 350 300 250 200 150 100 50 0 0 5 10 15 20 25 30 35 40 Li (um) Figure 3.4: LTLM result of sample 2/2 after annealing at 650°C in flowing N2 ambient for 60s. 45 Table 3.3: Results of Etch Depth versus Specific Contact Resistance. Group/sample Etched Depth (nm) Specific Contact Resistance (Ωcm2) 1/1 1.8 1.24 x 10-5 2/1 12.2 8.34 x 10-6 2/2 18.35 1.08 x 10-7 3/1 34.3 1.79 x 10-4 The results of Table 3.3 is plotted, as shown in Figure 3.5, we see a trend of decreasing ρc with increasing etch depth until it reaches a depth in the doped AlGaN layer, where we then observed an increase in ρc. After some measurements using the AFM to find the optimum depth that gives the best ρc, we conclude that the best ohmic behaviour is formed when the metal layers are laid on the doped AlGaN region at a distance of about Specific Contact Resistance (ohmcm2) 8nm above the AlGaN/GaN interface as shown in figure 3.5. 1.E+00 1.E-01 AlGsN/GaN interface 1.E-02 Surface treatment 1.E-03 spacer region 1.E-04 1.E-05 1.E-06 UID AlGaN barrier layer 1.E-07 doped AlGaN region 1.E-08 0 5 10 15 20 25 30 35 40 Etched Depth (nm) Figure 3.5: Effects of etch depth on the specific contact resistance. It was reported that diffusion of the metal layers from the HEMT structure surface towards the 2DEG at the AlGaN/GaN interface during annealing was not sufficient, even 46 at high temperatures of 900°C [4]. This thick unreacted AlGaN layer hinders the tunneling effect necessary for carriers to move across the metal-semiconductor interface. Annealing at such high temperatures could also be detrimental to the surface morphology of the metal due to formation of large grainy alloy lumps and thus degrade the contacts for future wire bonding. Sample 2/2 has 18nm of its AlGaN layer etched away before metallization. This means that the distance between the metal layer and the 2DEG gas is shorter than a device without any etching, as in the conventional method. When annealing is done at 650°C on sample 2/2, this short distance proves not to be a hinderance for the metal to diffuse towards the 2DEG to allow optimum direct field emission tunneling effect to take place. Hence, the contact resistance is lower than that of the conventional ohmic contact formation. Sample 3/1 does not show good contact resistance compared to the rest probably because the metal layers are in contact with the 2DEG by an area approximately equal to the width of the sheet channel since its thickness is negligible. This is because the metal has been laid to a depth way pass the AlGaN/GaN interface where the 2DEG is located. Hence, the region of contact between the metal and the channel is at the sides of the metal (see Figure 3.6(b)). This current crowding would probably contribute to a larger total resistance. 47 +Vds S +Vds D S D undoped GaN undoped GaN undoped AlGaN undoped AlGaN n-doped AlGaN undoped AlGaN Possible current crowding (a) n-doped AlGaN undoped AlGaN (b) 1.5 m undoped GaN 1.5 m undoped GaN 2DEG Figure 3.6: Cross-section of device after metallization and annealing. (a) sample 2/2 - metal diffuses to contact the 2DEG with a larger surface area of contact. (b) sample 3/1 - only the sides of the metal layer are in contact with the 2DEG. In sample 2/2 however, the metal-semiconductor interface is slightly above the 2DEG (see Figure 3.6(a)), and the good ohmic behaviour suggests tunneling effect through the AlGaN layer. In such structure, the total surface area of the metal in contact with the sheet charges is maximum, thus resulting in very low specific contact resistance. We conclude that Inductively Coupled Plasma etching prior to ohmic contact metallization is a highly effective step in enhancing the formation of ohimc contacts with low specific contact resistance on AlGaN/GaN HEMT structures, provided the etch depth is carefully chosen. 3.3 Schottky Contact The Schottky barrier characteristics of the gate metal on AlGaN layers have a significant influence on the HEMT device performance. It is necessary to fabricate a Schottky gate contact with a high reverse breakdown voltage and a low reverse leakage current. Metals 48 with high work functions such as Ni and Pt have been used as Schottky contact on GaN and AlGaN [10, 11]. In our study, the Schottky barrier height of Pd/Au contact on the undoped AlGaN was investigated using I-V measurements. This metal scheme was used in our project because of its success in the formation of Schottky contact on GaN, as reported in ref. [12]. 3.3.1 Experimental Procedure The samples were first cleaned with organic solvents as mentioned in Section 3.2.1 and were then loaded into the e-beam evaporator. Conventional photolithographic and lift-off techniques were employed to define the Schottky diodes with the configuration shown in Figure 3.7. The Ti/Al/Pd/Au metal scheme was deposited first as ohmic contact and annealed at 650°C for 1 min. The Schottky contact pad of 50 x 100 µm2 consisting of Pd/Au (50nm/150nm) was then laid without any annealing. Ti/Al/Pd/Au 10µm (ohmic contact) Pd/Au (Schottky contact) 50µm 20 Å undoped GaN 150 Å undoped Al0.15Ga0.85N 50 Å Si-doped Al0.15Ga0.85N 50 Å undoped Al0.15Ga0.85N 1.5 m undoped GaN 300 Å AlN buffer Sapphire substrate Figure 3.7: Schematic diagram of an Schottky diode. 49 3.3.2 Results and Discussions The current-voltage (I-V) characteristics were then measured using the HP DC parametric analyzer. Figure 3.8 shows the I-V curve of our AlGaN/GaN Schottky diode, from a reverse bias of -20V to forward bias. 0.012 0.01 Current (A) 0.008 0.006 0.004 0.002 0 -25 -20 -15 -10 -5 -0.002 0 5 10 Voltage (V) Figure 3.8: I-V characteristic of AlGaN/GaN Schottky diode. The ohmic and gate contact pads have an area of 50 x 100µm2 and are separated by 10 µm separation. As shown in Figure 3.8, a turn on voltage of approximately 1.3 V is obtained using the Pd/Au Schottky contact on the AlGaN/GaN HEMT. The low reverse biased current of only 1.5µA is measured at a reverse bias of –5V, as shown in Figure 3.9 (enlarged from Figure 3.8.) 50 1.20E-05 1.00E-05 Current (A) 8.00E-06 6.00E-06 4.00E-06 2.00E-06 -25 -20 -15 -10 0.00E+00 -5 0 -2.00E-06 5 10 -4.00E-06 Voltage (V) Figure 3.9: I-V characteristic of AlGaN/GaN Schottky diode. Enlarged from Figure 3.8. The I-V characteristic function of the Schottky diode is described by the following equations: Id = Io [exp(qV/nkT)-1], where (3.1) Io = AA**T2exp(-qφb/kT). (3.2) In the above equations, Io is the saturation current, n is the ideality factor, A is the diode surface area, A** is the effective Richardson constant, k is the Boltzmann’s constant and φb is the measured barrier height. For V>3kT/q (i.e. V>0.078V at T = 300K), Id ≈ Ioexp(qV/nkT) (3.3) ln Id = ln Io + (qV/nkT). (3.4) Hence, Therefore, by plotting I-V characteristics of the Schottky diode using a log scale for the current axis, as in Figure 3.10, Io and n can be determined. Using Io and equation (3.2), the barrier height can be calculated and is found to be approximately 1.12eV. This method has not excluded the effect of the series resistance of the diode, consequently, our value of 1.12eV is a conservative figure. 51 1.E-01 1.E-02 Current (A) 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09 1.E-10 -0.5 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 Voltage (V) Figure 3.10: Forward biased IV characteristics of an AlGaN/GaN Schottky diode with a log (I) axis. After a diode with a reasonably high Schottky barrier height and a low reverse leakage currents has been achieved using the Pd/Au metallization, we subjected the diode to long term heat treatment to study the thermal stability of this metal scheme which is intended to be used in AlGaN/GaN HEMT devices for high power and high temperature applications. The diodes were placed in a high temperature furnace heated to 600°C for up to 43 hours in N2 ambient. Figure 3.11 shows the I-V characteristics of the diode at various heat treatment times. 52 4.E-05 3.E-05 3.E-05 3.E-05 I (A) 2.E-05 10 hrs 18 hrs 2.E-05 30 hrs 1.E-05 43 hrs 1.E-05 6.E-06 2.E-06 -6 -5 -4 -3 -1 -2.E-06 0 -2 1 2 3 -6.E-06 V (V) Figure 3.11: I-V characteristics of Pd/Au/AlGaN Schottky diode after various heat treatment times at 600oC and in N2 ambient. Although we are yet to study the metallurgical phases formed between the metal layers and the semiconductor during the long term heat treatment at 600oC, we can conclude from Figure 3.12 (enlarged from Figure 3.11) that the diode remains Schottky without any visible change in the turn-on voltage, and there is a decrease in the reverse leakage current from 3µA (blue line) to less than 0.5µA (cyan line) at reverse bias greater that about 4V. The reasons behind this observation have yet to be discovered and further works such as study of the metallurgical phases need to be made. 53 3.E-06 2.E-06 1.E-06 I (A) 10 hrs 18 hrs 0.E+00 -6 -5 -4 -3 -2 -1 0 1 2 3 30 hrs 43 hrs -1.E-06 -2.E-06 -3.E-06 V (V) Figure 3.12: I-V characteristic of AlGaN/GaN Schottky diode. Enlarged from Figure 3.11. We have also taken some scanning electron microscopy (SEM) pictures of the contacts of the Schottky diode before and after the long term heat treatment and they are shown in Figure 3.13. We can see that the amount of Pd/Au metal left at the surface has decreased. This could be due to diffusion of the metal into the underlying AlGaN and/or lumping effect, where the metal starts to form clusters or lumps during the extended time of heating. Although the long term heat treatment of 40 hours has lowered the reverse leakage current, as shown in Figure 3.12, it affects the surface morphology, which may cause problems such as difficulty in forming bond-wires. Hence, we conclude that the processing procedures developed for the formation of Schottky contact has been successful, but long term heat treatment is not recommended. Further works such as the study of metallurgical phase changes of Pd/Au Schottky contacts on AlGaN/GaN HEMTs when subjected to long term heat treatment need to be done before concluding how feasible is this procedure in improving the performance of the actual HEMT device. 54 Schottky Contact Ohmic Contact (a) Schottky Contact Ohmic Contact (b) Figure 3.13: SEM pictures of contacts of Schottky diode: (a) before heat treatment and (b), after 43 hours of heat treatment at 600oC. 55 3.4 Fabrication of AlGaN/GaN HEMTs. 3.4.1 Fabrication Procedure Fabrication of the AlGaN/GaN HEMT with design structure α, as shown in Figure 3.1, started with the mesa isolation etching in the ICP chamber. We used a RIE power of 400W and an ICP power of 250W. These values have been chosen in view that mesa structures require an etch depth of at least 1.2µm (according to our structure described in Figure 3.1) so as to prevent leakage current between adjacent devices. Hence, a high RIE power of 400W has been chosen as it produces ions with higher energy for bombardment so as to achieve a higher etch rate. The gas mixture used in the etching was Cl2/Ar (20/5 sccm) and the HEMT epi-layer structure was etched for 6 min. An average etch depth of 1.3µm was attained. Ohmic contacts were then formed using e-beam evaporation with Ti/Al/Pd/Au (20nm/200nm/60nm/100nm) metallization scheme. This was followed by rapid thermal annealing at 650°C for 1 min. Prior to the metal evaporation, the samples were subjected to an etching procedure described earlier in Section 3.2 down to a depth of approximately 17-20 nm from the surface where the n-doped AlGaN donor layer is located. Gate contacts of Pd/Au (50nm/150nm) were patterned using optical lithography. The gate length was 2µm and the width was 100µm. The drain-source separation was about 4µm and the gate-drain distance was about 1µm. Figure 3.14 shows the schematic diagram of the fabricated HEMT. 56 1µm Source (Ti/Al/Pd/Au ) 2µm Gate (Pd/Au) 2nm UID GaN cap layer 15nm UID AlGaN barrier layer 1µm Drain (Ti/Al/Pd/Au ) 17-20 nm 5nm doped AlGaN 1x1019 cm-3 donor 5nm UID AlGaN spacer layer 2DEG 1.5µm UID GaN channel layer 400nm AlN nucleation layer Sapphire Substrate Figure 3.14: Schematic diagram of the fabricated AlGaN/GaN HEMT with structure design α. 3.4.1.1 Results and Discussions Figure 3.15 shows the I-V characteristics of the fabricated AlGaN/GaN HEMT device α. It has a saturation drain current of about 800 mA/mm at a gate bias of 2V, and has a knee voltage of about 6V. The peak transconductance, as shown in Figure 3.16, is found to be approximately 165 mS/mm at a drain bias of 10V. These values are superior to other devices with similar dimensions reported elsewhere in the literatures [95, 96]. This indicates the processing procedures developed in the formation of good ohmic and Schottky contact, as described in the previous sections, have enabled the successful fabrication of the AlGaN/GaN HEMT device α. 57 0.100 0.080 Current (A) 0.060 0.040 0.020 0.000 -2 0 2 4 6 8 10 -0.020 Voltage (V) Drain Current (A) Figure 3.15: The I-V characteristics of the AlGaN/GaN HEMT device α with structure layers described in Figure 3.1. The drain-source distance (Lds) is 4µm long while the gate-source (Lgs) and gatedrain (Lgd) distances are both 1µm long. Gate voltage is swept from 2V (top) to –5V (bottom) in steps of –1V. 0.090 0.018 0.080 0.016 0.070 0.014 0.060 0.012 0.050 0.010 Ids 0.040 0.008 Gm 0.030 0.006 0.020 0.004 0.010 0.002 0.000 0.000 -6 -4 -2 0 2 4 6 Gate Voltage (V) Figure 3.16: Transconductance (Gm) and drain current (Ids) versus gate voltage (Vgs) characteristics of the same 2µm gate length HEMT α. Lds is 4µm long while Lgs and Lgd are both 1µm long. The drain voltage (Vds) is 10V. 58 3.4.2 Fabrication of the conventional AlGaN/GaN HEMT device structure. The conventional AlGaN/GaN HEMT structure shown in Figure 3.17, which is different from the AlGaN/GaN HEMT structure α, has also been designed. The epi-wafer was grown also by SVT Associates, Inc. on a sapphire (0 0 0 1) substrate. After nitridation of the surface, a low-temperature AlN (30nm) buffer layer was grown. This was followed by a 1.5µm UID GaN grown at 750°C under Ga rich growth conditions and finally an AlGaN layer consisting of a 5 nm spacer layer (concentration of n~1016 cm-3) and a 30 nm donor layer (concentration of n~1x1019 cm-3). The aluminium mole fraction of AlGaN was also 0.15 in this HEMT structure. This structure was used in this project as its design is shown to produce good performance as reported [97], surpassing the performance of the HEMT with structure design α. It is known that a higher sheet charge concentration of more than 1x1013 cm-3 is induced at the 2DEG due to contribution from the thicker (30nm) doped AlGaN layer [98-101]. On the contrary, the thickness of the AlGaN donor layer should not be too thick as the gate control of the carriers at the 2DEG will be difficult. 20 Å undoped GaN 300 Å Si-doped Al0.15Ga0.85N 50 Å UID Al0.15Ga0.85N 1.5 µm UID GaN 300 Å AlN buffer Sapphire substrate Figure 3.17: The conventional AlGaN/GaN HEMT, grown by SVT Associates. 59 3.4.2.1 Fabrication procedure for the conventional AlGaN/GaN HEMT The fabrication process of the conventional AlGaN/GaN HEMT is similar to that described in Section 3.3.1. We transferred the procedures used in the formation of ohmic and Schottky contacts, as described in Sections 3.1 and 3.2, to the fabrication of the conventional structure - the source and drain ohmic regions were etched to a depth of about 8 nm from the AlGaN/GaN interface prior to ohmic metallization. The Schottky contact fabrication remained unchanged. 3.4.2.2 Results and Discussions. Figure 3.18 shows the output I-V characteristics of a typical device. The drain saturation current is about 500 mA/mm at a gate voltage of 2V while the transconductance, as shown in Figure 3.19, peaks at a value of about 106 mS/mm at a drain bias of 10V, a drain current of about 215 mA/mm and a gate voltage of about –1.45V. These dc measurement results are less superior to our previous HEMT device α fabricated in Section 3.3.1. This could be due to the fact that the ohmic contact formation on this conventional structure is not optimized, which simply means that optimum etch depth prior to ohmic metallization is not 8 nm from the AlGaN/GaN interface. At this juncture of the project, this deduction has not been confirmed as the performance of the device can also be affected by other factors such as carrier mobility and carrier concentration at the 2DEG. It is however noteworthy that the saturation drain current of 500 mA/mm is comparatively higher than those reported elsewhere with devices having the same dimensions [95, 96]. This means that with the possible optimization of the ohmic fabrication process, our conventional HEMT device may exceed the performance of other devices reported. It is interesting also to note that the I-V characteristics also exhibit a minimal negative differential resistance characteristic, which is due to self-heating when the drain-source bias (Vds) is swept from 0 to 15V. This shows that even with a low thermal conductivity substrate such as sapphire, effective heat dissipation by the sapphire substrate is still 60 possible even though reports claimed that only SiC substrate-based HEMTs could achieve this [102]. 0.060 2V 0.050 Current (A) 0.040 0.030 0.020 0.010 0.000 -2 0 -0.010 2 4 6 8 10 12 14 16 Voltage (V) Id (A) Figure 3.18: I-V characteristics of a 2µm gate length device with the conventional HEMT design. The drain-source distance (Lds) is 4µm long while the gate-source (Lgs) and gate-drain (Lgd) distances are both 1µm long. Gate voltage is swept from 2V (top) to –5V (bottom), in steps of 1V. 0.060 0.012 0.050 0.010 0.040 0.008 0.030 0.006 0.020 0.004 0.010 0.002 0.000 Id-Vg Gm 0.000 -6 -4 -2 0 2 4 Vg (V) Figure 3.19: Transconductance versus Vgs and Ids characteristics of the same 2µm gate length device. Lds is 4µm long while Lgs and Lgd are both 1µm long. Vds is biased at 10V. 61 It is observed in Figure 3.20 that excellent Schottky behaviour between the gate and the AlGaN layer is achieved. There seems a considerable increase (from 1.3V to 2V) in the turn-on voltage of the Schottky contact compared to that shown in Figure 3.8. The slight increase in the ohmic resistance in the forward bias region of the I-V curves is probably due to the ohmic contact formation on the conventional HEMT structure not being optimized compared to that achieved in the fabrication of the Schttky diode on HEMT structure α. Thus, the lowest possible contact resistance for maximum current flow across the diode was not achieved. 0.018 0.016 0.014 Current (A) 0.012 0.010 0.008 0.006 0.004 0.002 -4 -3 -2 0.000 -1 -0.002 0 1 2 3 4 5 6 7 Voltage (V) Figure 3.20: Schottky barrier characteristics of the conventional AlGaN/GaN HEMT device. 3.4.3 Long term heat treatment of the conventional AlGaN/GaN HEMT The conventional HEMT device was subjected to long term heat treatment to study its thermal stability and aging process, and also to conclude if its below expectation dc performance is due to the ohmic contact formation not being optimized, as mentioned in the previous section. Figure 3.21 shows the I-V characteristics of the device after 10 and 18 hours of heat treatment in a furnace at 600°C in N2 ambient. 62 0.035 0.030 0.025 Ids (A) 0.020 18 hrs 0.015 10 hrs as-dep 0.010 0.005 0.000 -2 0 2 4 6 8 -0.005 Vds (V) Figure 3.21: I-V characteristics of the conventional AlGaN/GaN HEMT that has undergone heat treatment at 600oC in N2 ambient for 10 hours (pink) and 18 hours (blue). Vg is varied from 0V (top) in steps of –1V. It is observed in Figure 3.21 that the gradient in the linear region has increased considerably as the heat treatment time progresses. Comparing the device without heat treatment and that after 18 hours heat treatment, there is a drop in the knee voltage from 6.5V to 4.5V (at Vg = 0V). This has brought about an increase in the saturation drain current of about 28% from 0.025A to 0.032A. The improvement in the ohmic characteristic in the linear region could be due to the improved interfacial phase structure at the metal-semiconductor interface during the long term heat treatment, and possibly indiffusion of the metal nearer to the 2DEG. This may be a strong indication that etching of the source-drain region to 8nm from the AlGaN/GaN interface prior to ohmic metallization, may not be the optimum depth. From the result in Figure 3.21, we conclude that since long term heat treatment probably allows further diffusion of metal to achieve better ohmic slope in the I-V curve, the optimum etch depth before ohmic metallization should be closer to the AlGaN/GaN interface than 8nm. We also observe in Figure 3.22 that there is an increase in the transconductance of the device by almost 80%. Although the long heating process may further improve the ohmic 63 behavior of the source and drain contacts, thus leading to an increase in the drain saturation current and the transconductance, we do not recommend such procedure in the fabrication of ohmic contact as it is too time consuming and is therefore not feasible. The glitches on the gm curves is sporadic and is probably due to some sensitivity of the test equipment 0.045 0.010 0.040 0.009 0.035 0.008 Id (A) 0.030 0.025 0.007 10 hrs - Ids 0.006 18 hrs - Id 0.005 0.020 0.015 10 hrs - gm 0.004 18 hrs - gm 0.003 As-dep gm-Vg 0.010 0.002 0.005 0.001 0.000 As-dep Id-Vg 0.000 -8 -6 -4 -2 0 2 4 Vg (V) Figure 3.22: Transconductance characteristics of the conventional AlGaN/GaN HEMT after heat treatment of 10 and 18 hours at 600oC in N2 ambient. After 18 hours of heat treatment, the same sample was subjected to a further thermal stress for another 12 hours, thus bringing it to a total of 30 hours. The device I-V characteristics were the no longer observed, indicating that the device had failed. Figure 3.23 shows the SEM pictures of the conventional HEMT device before (Figure 3.23(a)(c)) and after (Figure 3.23(e) and (f)) 30 hours of heat treatment. It is observed that the gate with a length of about 2 µm has deformed by diffusing side ways towards the source-drain pads, which were about 1 µm away, as shown in Figure 3.23 (e). We have also observed that the gate is disconnected from the gate pad of the device at the mesa edge, as shown Figure 3.23 (c), hence leading to a failed device. 64 (a) (b) Source Mesa Gate Drain (c) Gate pad Source Gate Drain 65 (d) Source Mesa Broken gate metal Drain Link to gate pad (e) Pit holes appearing Deformed Gate Figure 3.23: SEM pictures of the conventional AlGaN/GaN HEMT device: (a) multi-fingered device before heat treatment, (b) closed up view at the mesa edge, (c) a 2 µm gate laid between ohmic source-drain pads before heat treatment, (d) gate linking to gate pad at mesa edge after 40 hours of heating at 600oC in N2, and (e) gate contact of picture(d) after 40 hours heat treatment at 600oC at N2. 3.5 Conclusion In this chapter, we have successfully developed processing steps for the formation of good ohmic and Schottky contacts on the AlGaN/GaN HEMT wafer. Two AlGaN/GaN HEMTs with two different structure designs (α and the conventional structure) have been 66 fabricated successfully with its dc characteristics observed. Both devices have shown good and stable dc characteristics at room temperature. However, the full potential of the conventional HEMT device has not been realized as the ohmic contact formation has not been optimized, possibly due to the optimum etch depth of the source-drain region prior to ohmic metallization not being found. This may be seen from the increase in the maximum saturation drain current when the device was subjected to term long heat treatment, allowing further in-diffusion of the ohmic metal towards the 2DEG. Thus, optimization of the ohmic contact formation on the conventional AlGaN/GaN HEMT could be a possible avenue for future investigation in order to realize its full potential. 67 Chapter 4 Mask Designing for DC, HF and High Power measurements. 4.1 Introduction Work has been done on the study of ohmic and Schottky contacts on AlGaN/GaN epilayers. In addition, AlGaN/GaN HEMTs have been successfully fabricated and their dc characteristics studied. As GaN-based transistors show immense potential in highpower operations at high frequencies, we have also looked into ways to develop AlGaN/GaN HEMTs that operate in the high frequency (HF) GHz region. Our first challenge has been to design a set of photomasks that is suitable for high frequency probing of devices and which can be used to pattern and fabricate a device with maximum possible power. This chapter accounts the various steps and considerations we took to design our masks. We also see a need to design another set of masks to carry out all dc test measurements on one single AlGaN/GaN HEMT wafer. This will add accuracy and consistency when processes developed for ohmic and Schottky contact formation are transferred to the fabrication of AlGaN/GaN HEMTs. We shall first explore the rules that govern the layout of devices under high frequency (or GHz) probing. This is followed by the overall design of the high frequency mask and finally, we present the dc mask design. 68 4.2 GHz High Frequency Probing Techniques There are several techniques for measuring high-speed devices and correcting pad parasitic. Improvements in semiconductor technology that result in faster and denser devices add to the difficulty in measuring and characterizing these devices. One of the techniques involves the use of network analyzers measuring S-parameters, and correcting the network analyzer response through the use of planar standards. The current state-of-the-art set up for measuring devices operating in the GHz range is to use coplanar probes in conjunction with a network analyzer and a calibration substrate. The calibration substrate is typically fabricated on sapphire for low loss and contains shorts, trimmed 50 ohm loads, and through connections to enable the operator to perform a short, open or load through calibration. A coplanar probe’s features include the probe body, the coaxial connector, the probe contact tips. Coplanar transmission lines carry the signal between the coaxial connector and the probe contact tips. The coplanar probes come in two configurations. The first has 3 contact tips: ground (G) - signal (S) - ground (G), as shown in Figure 4.1 (a). The second has 2 contact tips: ground (G) - signal (S), as shown in Figure 4.1 (b). The S contact is electrically connected to the coaxial connector centre pin, and the G contact is electrically connected to the coaxial connector body. At high frequencies, the ground is not an equi-potential reference, as in low frequency circuits, but is instead part of a transmission line that contains time varying electric fields. A typical device layout suitable for coplanar probing is shown in Figure 4.1. 69 (a) Probe Probe Probe Probe (b) 100µm Device under test (DUT) Probe contact tip Contact pads on the wafer of DUT Figure 4.1: Typical layout suitable for coplanar probing, showing (a) ground-signal-ground (GSG) and (b) ground-signal (GS) probe configurations. 4.3 Layout rules in the design of high frequency masks There are several features of the coplanar probes that affect the layout of our devices in the design of masks. Firstly, the distance between the outermost probe contact tip and the edge of the probe body is about 100µm (this is indicated in Figure 4.1(b)). If two probes, placed side by side are required to probe two different contact pads of the DUT, the contact pads must be more than 100µm apart so that the probes will not collide. Another physical layout consideration is that the contact pads on the wafer of DUT must be big 70 enough for probing, typically 50 x 50 µm2. The last consideration is the probe skating distance (also known as probe overtravel), which refers to the distance traveled by probe contact tip after it makes contact with contact pad on the wafer of DUT, as probe contact tip may skate into each other and be damaged. If the probes crash into each other, they can be damaged, hence the pad layout must allow for sufficient damage-avoidance space between the probes. Sufficient space must also be provided for probes that are oriented 90 degrees to each other and between probes that face each other. Essentially, there must be enough space between probes to allow for probe skating so as to prevent unnecessary damage of probe contact tips. Mechanical and electrical related rules guarding contact pad of DUT placement and sizing are vital and must be considered. In most designs, these contact pads are also used as bond pads, so the final layout should satisfy requirements for both probing and bonding. A good layout for GHz probing is usually also a good layout for packaging. Coplanar waveguide GHz probes are constructed with all contacts in a straight line, and with equal spacing between contacts. This means that all pads, arranged for an individual GHz probe measurement, must be in a straight line, have equal pitch, and be on the substrate top surface. Non-square or very large pads may be laid out more randomly, as long as there is a minimum size land area spaced at an equal pitch for the probe contacts to land on. There are in fact a number of layout rules that apply to the DUT when designing the high frequency mask. Firstly the contact pad size and pad pitch, which is the distance between the centres of two adjacent contact pads. The minimum contact pad size is 50 x 50 µm2 as mentioned earlier. In our design, we use a pad size for general use of 100 x 100 µm2 with a 250 µm pitch. This provides for easy probing and parasitics are low enough for many applications. Secondly, the minimum window in the passivation layer for the contact pad is 96 x 96 µm2, which we adhered to. Some of these values are illustrated in Figure 4.2. 71 Passivation window Before Passivation 100 µm 96µm Pad size = 100 x 100 µm2 100 µm 96µm Contact pad on wafer of DUT Figure 4.2: Left picture shows the 100 x 100µm2 contact pad size before passivation, while the right picture is after passivation illustrating the minimum passivation window size of 96 x 96µm2 covering the contact pad. Thirdly, the minimum center-to-centre contact pad spacing between facing probes on parallel rows of pads is 200µm. Note that this spacing is based on the assumption of 500µm of probe overtravel. If the probe overtravel can be limited to 250µm, then the minimum spacing is 100µm. In our design, we use a center-to-centre contact pad spacing of 250 µm. Finally, the maximum pad height variation in a row of pads contacted by a single probe should not be more than 0.5 µm. Such variation is usually due to laying of different metal schemes resulting in different total pad thickness. This does not pose much of a problem in our design because the maximum pad variation in our devices is about 0.2 µm. As for the electrical rules, it is necessary to design the layout such that each probe must have at least a ground contact and also all the probes on the same device must have a common ground connected on the chip itself. If the ground pads are not connected together, the measurement will include a large inductive ground comprised of the coaxial cable grounds and instruments grounds, and this will produce inaccurate results. In our design, we also took effort to minimize any parasitics such as common ground 72 inductance, associated with interconnects from the pads to the device. This was done by reducing the distance of all interconnects between the contact pads and the device. 4.4 The overall high frequency mask design The following test structures are included in our high frequency mask set: individual HEMTs with a gate-length of 2 µm, 1 µm, 0.5 µm and 0.25 µm for high frequency measurements, and eight-fingered (with a total gate width of 1 mm) power HEMTs of various gate lengths 1 µm, 0.5 µm and 0.25 µm for high power characterizations. In addition, test structures such as the Van-der Pauw pads, linear transmission line method (LTLM) test pads and Schottky contact test patterns are included. Figure 4.3 shows the overall design of our high frequency mask set. Individual mask levels are shown in Appendix A. Single gate HEMTs Test Structures Figure 4.3: Multifingered power HEMTs Overall high frequency mask set. It has a total of 5 layers: Mesa Isolation (blue), SourceDrain Contact (red), Gate Contact (green), Passivation window (orange), and Source Interconnect (purple). 73 4.5 The overall dc mask design It is our aim to carry out on a single AlGaN/GaN HEMT wafer all dc measurements such as specific contact resistance, Schottky diode test, carrier mobility and velocity, and dc characteristics of the AlGaN/GaN HEMT. Hence, we have designed a mask which contains the Van-der Pauw structure to measure carrier mobility and velocity, the LTLM structure to measure the specific contact resistance, Schottky diode contact pads to measure the Schottky barrier height and single HEMTs with sub micron gate lengths to measure I-V characteristics. Figure 4.4 shows the overall design of our dc mask. LTLM structure 2µm gate HEMTs Van-der Puaw structure 1µm and submicron gate HEMTs Figure 4.4: Etch rate test structures Our new 3-layer DC mask design: Mesa structure (blue), Source-Drain Contact (red), and Gate Contact (green). 74 Chapter 5 Simulation of New Device Structure 5.1 Introduction Developments in wide bandgap GaN based materials are now leading to solid-state devices capable of operating at higher levels of microwave power than those based on GaAs, Si and InP [1-5]. It is also important to assess the possible improved performance that can be achieved by GaN devices, for example by investigating new device structures. This will be carried out by means of simulation. G PISCES-2B is a simulation software developed by Gateway Modeling, Inc to simulate AlGaN/GaN HEMTs. It offers an attractive route to predict device performance concurrent with material and parameter variations. In this chapter, we report a study of AlGaN/GaN HEMT using a 2D numerical device simulation coupled with piezoelectric polarization charge induced models that are inherent in the G PISCES-2B simulation software. The sensitivity of the electrical characteristics of the AlGaN/GaN HEMT to important device and material properties such as piezoelectric polarization effects, aluminium mole fraction and the AlGaN doped layer thickness will be discussed. 5.2 Models used in the simulation software 5.2.1 Schottky Contacts 75 Schottky contacts to the AlGaN are usually form by Pt [103]. From Ambacher et. al [103] the Schottky barrier height for Pt is given by Vbi = 1.3x + 0.84 . (5.1) Different metals laid on AlGaN give somewhat different barrier heights, usually with a range of variation of ± 0.3V [104]. The G PISCES-2B simulation software however adopts the equation proposed by Ambacher et. al. [103] 5.2.2 Ohmic contacts Ohmic contacts for AlGaN/GaN HEMTS are generally formed by Ti/Al [104]. In principal, given the barrier height for Ti on AlGaN [104], one could compute the contact resistance assuming thermionic field emission [105] as the transport mechanism. However, with alloyed contacts, the material under the contact is probably some amorphous metal-semiconductor solution with unknown band gap and doping. In addition, for Ti on GaN, it is theorized that N vacancies effectively dope the contact region [104]. The MESH program in G PISCES-2B allows the use of three models to model the ohmic contacts on the AlGaN/GaN HEMT, see Figure 5.1. The first is by sinking the electrodes. In this case a solid electrode region is defined and extends from the surface down to a depth selected, which should at least be down to the GaN channel. The average contact resistance is approximately 2 Ωmm. The second model is by putting a heavy box doping under the contact. We chose a doping of 1019 cm-3 and 0.04 µm thick (for a 0.03 µm thick AlGaN layer) to obtain a contact resistance of 1.65 Ωmm. The last method is by implanting the contact, where the implant depth extends slightly across the AlGaN/GaN interface, and a 40keV implant with a dose of 1016 cm-2 was used to obtain a contact resistance of 1.54 Ωmm (values were taken from simulation manual). In our simulation, we used the second method of heavily doped contacts as it best represents our physical device we will be fabricating. 76 Contacts AlGaN AlGaN AlGaN GaN GaN GaN AlN AlN Sapphire Sapphire 2DEG AlN Sapphire (a) (b) (c) Figure5.1: The 3 models used to model the ohmic contacts on AlGaN/GaN HEMT. (a) Sunken electrodes, where the entire black region is the metal electrode sunk down to the 2DEG. (b) Heavy box doping under the contact, represented by the dark gray region. (c) Ion-implanted contacts to the depth slightly below the 2DEG, represented by the silver region 5.2.3 Electron Transport G PISCES-2B’s electron transport models for the AlGaN/GaN HEMT are based on the Monte-Carlo calculations from Ruden’s and Brennan’s group [106-108]. The velocity field curve is modeled as: v( E ) = µ ( x, N D , T ) E 1 + E / E1 E1 = Epk / (µEpk / vpk – 1) , for E < Epk ; or , (5.2) v(E) = vpk(Epk / E)α , α = 0.45√(300/T) , for E > Epk ; (5.3) and the mobility is modeled as: N T 2 = a 17 I −3 ln(1 + β CW ) µ 300 10 cm 1 β 2 CW T =3 300 2 NI 1017 −1.5 T + 300 1.5 + c , exp(Θ / T ) − 1 −2 / 3 , NI = NA + ND (5.4) 77 where a, b, c, and Θ depend on the Al mole fraction, and are derived from data in ref. [107]. Velocity and mobility in AlGaN/GaN HEMTs depend on factors like impurity, piezoelectric, and dislocation scattering. Hence, to take into account these scattering effects, G PISCES-2B incorporated degradation factors for users to bring the simulated values as close as possible to the actual experimental results such as from Hall measurements. Degradation factors of values between 0 and 1 are available for velocity and mobility modeling. For the devices used in our simulation, we were advised by G PISCES-2B author and after sales support engineer, Dr. R. Anholt to use a degradation factor of 0.6. This is a conservative value deduced from the actual experiments conducted by Anholt during the writing of G PISCES-2B. 5.3 Simulating new device structure. In a previous work by our group, simulation was done on the AlGaN/GaN HEMT structure using a Technology CAD (TCAD) simulation software called ATLAS by Silvaco Data Systems [109]. In that work, we made an exhaustive study on the effects of varying material parameters and temperature, on the electrical characteristics of the AlGaN/GaN HEMT. In the present work, we carried out an investigation on the possible improvement in device performance by introducing a new AlGaN/GaN HEMT structure. The software, G PISCES-2D has been adopted because it is coupled with a piezoelectric polarization charge induced 2DEG model. This polarization effect has shown evidence that it is responsible for the large 2DEG carrier concentration, as mentioned in Chapter 1. Hence, it is only appropriate to use this simulation software. 5.3.1 The conventional AlGaN/GaN HEMT structure A conventional HEMT structure as shown in Figure 5.2 consists of the usual GaN channel layer below a uniform AlGaN layer. This device structure is capable of producing a 2DEG sheet carrier concentration of 1x1013 cm-3 at the AlGaN/GaN interface 78 due to spontaneous and piezoelectric effect [110]. To further enhance the sheet charge carrier concentration of the 2DEG at the AlGaN/GaN interface, the uniform AlGaN layer may be uniformly doped with Si, as shown in Figure 5.3, and be separated from the 2DEG by an undoped AlGaN spacer layer below it. An additional 1x1013 cm-2 of 2DEG sheet carrier concentration can be obtained by doping the AlGaN with 0.5 – 5 x 1019 cm-3 of Si [111]. This is about a factor of two increase over an undoped AlGaN/GaN HEMT structure as in Figure 5.3. 300 Å undoped Al0.15Ga0.85N 2DEG with sheet charge concentration of approximately 1x1013 cm-3 [109] 1.5 µm undoped GaN Uniform undoped AlGaN layer 300 Å AlN buffer Sapphire substrate Figure 5.2: The conventional AlGaN/GaN HEMT device structure with uniform AlGaN layer. 300 Å Si-doped Al0.15Ga0.85N 50 Å UID Al0.15Ga0.85N 2DEG with sheet charge concentration of approximately 2x1013 cm-3 [110] Figure 5.3: 1.5 µm UID GaN Si-doped AlGaN donor layer Undoped AlGaN spacer layer 300 Å AlN buffer Sapphire substrate The conventional AlGaN/GaN HEMT device structure with Si-doped AlGaN donor layer and an AlGaN undoped spacer layer to increase the 2DEG sheet carrier concentration. 79 5.3.2 The new AlGaN/GaN HEMT structure It has been reported that there is an effect of strain induced polarization field in AlGaN/GaN heterostructures due to the incorporation of Si dopant ions in the AlGaN lattice [111]. By doping AlGaN with Si, a perturbation of the lattice occurs due to the substitution of smaller Si ion on the Ga lattice site. This creates an additional contraction of the AlGaN wurzite unit cell leading to strain in the doped-AlGaN:Si/undoped-AlGaN spacer layer interface, see Figure 5.4. This dopant-induced strain, which is tensile, can induce a 2DEG channel at the AlGaN:Si/AlGaN interface due to piezoelectric polarization. (See Figure 5.4). 5nm 5x1019 Al0.15Ga0.85N:Si Ppz due to tensile strained AlGaN:Si 5nm Undoped Al0.25Ga0.75N Induced 2DEG channel Figure 5.4: 2DEG channel induced at the AlGaN:Si/AlGaN interface due to piezoelectric polarization created by the dopant-induced tensile strain on the Si doped AlGaN layer. The magnitude of this strain increases as the Si doping concentration increases and as the Al mole fraction in the AlGaN decreases [111]. We have since taken this phenomenon into consideration for the design of our HEMT structure to achieve 2 additional 2DEG channels at the AlGaN:Si/AlGaN interfaces apart from the existing channel at the AlGaN/GaN interface (as seen in Figure 5.3). With this design, we propose a multi 2DEG-channel device with each additional channel having a carrier concentration of approximately 1x1012 cm-2. With increased carrier concentration from additional channels, we predict an increase in the saturation drain current density from the conventional device described in Figure 5.3. Figure 5.5 shows our new HEMT design. 80 1µm S 1µm 1µm 1µm G 1µm D 2nm undoped GaN cap 5nm 5x1019 Al0.15Ga0.85N:Si Ppz due to tensile strained AlGaN:Si 5nm undoped Al0.25Ga0.75N Additional 2DEG channels 5nm 5x1019 Al0.15Ga0.85N:Si Ppz due to tensile strained AlGaN:Si 3nm undoped Al0.25Ga0.75N spacer Existing 2DEG channel Psp Ppz 1 µm undoped GaN buffer 6H-SiC substrate Figure 5.5: Our proposed new AlGaN/GaN HEMT structure with additional 2DEG channels at the AlGaN:Si/AlGaN interface. 5.3.3 Simulation results of new AlGaN/GaN HEMT structure Figure 5.6 shows the contrasting results in the drain current (Ids) versus drain voltage (Vds) characteristics between the new design and the conventional design as described in Figure 5.3. 81 (a) (b) Figure 5.6: Drain current (Ids) versus drain voltage (Vds) of (a) new structure, (b) conventional structure. The gate voltage (Vgs) of the new structure ranges from 2.5V to –18, while that of the conventional structure ranges from 1V to –12V. We observe in Figure 5.6 that there is a drastic increase in the drain current. This is probably due to the increase in carrier concentration from the 2 additional 2DEG induced at the AlGaN:Si/AlGaN interface. It is noteworthy to see that the range of allowable gate voltage has increased from –12V to 1V in the conventional structure to –18V to 2.5V in the new structure. This could be due to the fact that the carriers in the 2DEG of the new structure require a larger negative gate voltage to deplete the channel to reach the threshold voltage, and allows a higher positive gate voltage before gate leakage current arises. This may be advantageous when a wide range of gate voltage is needed in some applications and a higher drain current is reached leading to higher power output. The slight drop in the drain current at Vgs = 2.5V and Vgs = 0V (in figure 5.6a) is most likely caused by self-heating, and this can be reduced by using a substrate with a higher thermal conductivity, such as SiC. The transconductance (gm) and unity current gain cutoff frequency (ft) have also been simulated and compared, as shown in Figures 5.7 to 5.8. 82 Figure 5.7: Transconductance versus gate voltage at Vds=18V of the new structure (solid line) and conventional structure (dotted line). Considering the gate length of the simulated devices to be 1µm with Lgd and Lgs both being 1 µm, the transconductance of both structures could reach an impressive 300mS/mm with the new structure peaking slightly higher at approximately Vgs = -2V. Figure 5.8: Current gain cutoff frequency versus drain voltage at Vgs=0V for the new structure (solid line) and Vgs=-4V for the conventional structure (dotted line). The maximum unity current gain cutoff frequency of the new structure is about 38 GHz while that of the conventional structure is about 25 GHz. However, this 48% increase in ft is only applicable when the drain is biased within the range of 20V to 30V where it then 83 decreases gradually to approximately 22 GHz. This is probably due to self-heating effect where the temperature of the device increases when high current is flowing at such high biasing. Such rise in lattice temperature may lead to an increase in polar optical phonon scattering causing the velocity of the carriers to decrease. We recommend a replacement of the sapphire substrate with SiC, which has a thermal conductivity 10 times higher than that of sapphire. This may eliminate the heating effect during high current flow. In conclusion, the dc and rf performance of the new structure AlGaN/GaN HEMT has been simulated in comparison with that of the conventional structure. Although there is not much improvement in the transconductance and the unity current gain cut-off frequency, we predict that this new device structure is capable of producing much higher power than the conventional device at the same operating frequency due to the significant increase in saturation drain current. This however requires further improvement in the thermal management of the new device structure such as by using SiC as the substrate. 84 Chapter 6 Conclusion and future works 6.1 Conclusion from current work In this project, much work has been done in the fabrication and study of the behavior and characteristics of both ohmic and Schottky contacts on AlGaN/GaN HEMTs. In the formation of ohmic contact, inductively coupled plasma etching was used to etch to a specific depth of the HEMT structure before metallization. A Cl2/Ar gas composition was used in a low RIE and high ICP powered etching system to achieve shallow etched depths, ranging from 1.8 nm to 34 nm, from the surface of the HEMT. Ti/Al/Pd/Au (20nm/200nm/60nm/100nm) metal scheme was found to give the best ohmic behavior when evaporated on the samples. Rapid thermal annealing at 650°C for 1 min was also observed to be a crucial step in our ohmic contact formation. Using linear transmission line method to calculate the specific contact resistance, we found a best value of 1.08 x 10-7 Ωcm2 for a device that was etched to a depth of 8 nm above the AlGaN/GaN interface. This figure was better than that of a device which was etched to a depth below the AlGaN/GaN interface before metallization. This was explained by the possibility of current crowding at the metal/sheet-charge interface, since the total surface area of contact between the metal and the sheet charges was an area approximately equal to the width of the 2DEG sheet charge. We conclude that by etching to an optimized shallow depth (above and near the AlGaN/GaN interface) before metallization, even with little diffusion after low temperature annealing of 650°C in N2 ambient, the metal layer can 85 still reach a depth near the 2DEG (without contacting it). This short distance between the metal layer and the 2DEG, which is approximately 8 nm – 10 nm, was proved to be sufficient for direct tunneling field emission of carriers to occur hence leading to good ohmic behavior with low contact resistance. Palladium based metal scheme was used in our Schottky contact on AlGaN/GaN HEMT. We evaporated 50nm/150nm of Pd/Au on the HEMT and found that the contact produced an Schottky barrier height of 1.12eV, which was sufficient to allow a gate voltage of up to 2V to be applied on the HEMT Schottky gate without a significant gate leakage current. A very small gate leakage current of 30 µA/mm was measured when the contact was reverse biased at –20V. We have also subjected the Schottky contact to long term thermal stress at 600°C and have discovered that the Pd/Au contact on AlGaN surface is very stable after the heat treatment. No change in the turn on voltage of the Schottky contact was observed even after more than 40 hours of heating. The metal contact has remained strongly adhered to the AlGaN surface and the Schottky characteristic has remained stable with very low leakage current. AlGaN/GaN HEMT devices with two different structural designs were fabricated using the recipes developed in the formation of ohmic contact and Schottky contact. One being the structure design α, and the other being the conventional structure design. Ti/Al/Pd/Au ohmic contacts were laid for the source and drain, while Pd/Au Schottky contact was used for the gate. The AlGaN/GaN HEMT with structure design α, delivered a maximum drain current density of about 800 mA/mm at a gate bias of 2V, and with a knee voltage of about 6V. A peak transconductance of 165mS/mm at a drain bias of 10V and a gate bias of -1.7V has also been observed. On the other hand, the conventional AlGaN/GaN HEMT produced a maximum saturation current density of 500mA/mm at gate bias of 2V and a peak transconductance of 106mS/mm when the drain was biased at 10V and the gate biased at –1.45V. The performance of the conventional HEMT devices are comparable to the typical values reported elsewhere [112-114] with devices of the same dimension. Long term heat treatment of the conventional HEMT at 600°C for up to 30 hours has been carried out. It has been observed that heating the device for 18 hours can 86 improve the slope of the linear region of the I-V characteristics. This may indicate that the ohmic contact on the conventional AlGaN/GaN HEMT could be optimized by either etching the AlGaN layer to a distance closer than 8 nm from the AlGaN/GaN interface prior to ohmic metallization, or by subjecting the fabricated device to long term heat treatment until there is no further improvement in the I-V characteristic. A set of photo masks for microwave and power density measurements has also been designed. This was done as our first step to fabricate AlGaN/GaN HEMT power devices to operate at radio frequencies. Considerations for the device dimension, pitch size, contact probe pad size and other layout rules for high frequency measurements were made. A set of photo masks with five layers was drawn using AutoCAD and was subsequently manufactured by a photo mask making vendor. The designs include submicron devices with varying drain-source and gate-source distances, eight-fingered power HEMTs with a total gate width of 1 mm and test structures such as the Van-der Pauw pads, linear transmission line method (LTLM) test pads and Schottky contact test patterns are included. The fabrication of these devices is in progress and is carried out by another research student. Finally, in an effort to build high performance AlGaN/GaN HEMTs, we looked beyond the boundaries of fabrication techniques into the design of better HEMT structures for improved dc and rf performances. This is done by studying the material properties of the AlGaN/GaN HEMT, and understanding how piezoelectric polarization induced 2DEG can be exploited to the fullest to achieve high sheet charge carrier concentration. We have proposed a new AlGaN/GaN HEMT device structure that has 2 additional 2DEG induced at the AlGaN:Si/AlGaN interface, each with a carrier concentration of approximately 1x1012 cm-2. We have simulated the performance of this new HEMT design using the G PISCES-2B AlGaN/GaN HEMT device simulator and compared it with the conventional HEMT structure device. We have found a 69% increase in the maximum saturation drain current when biased at a gate voltage of 2.5V and a 50% increase in the threshold voltage. The extrinsic transconductance and the unity current gain frequency however do not show any impressive improvements. We predict that this new AlGaN/GaN HEMT 87 design is capable of delivering higher power than the conventional HEMT when biased to operate at the same operating frequency. Hence, we propose the growing of this new AlGaN/GaN HEMT structure for fabrication and subsequently the measurement of device electrical characteristics. 6.2 Suggestions for future Works We expected the dc performance of the conventional AlGaN/GaN HEMT device to be better than that of the HEMT with structure design α. However, this is not so. One result is the saturation drain current, where the conventional structure device could only deliver 500mA/mm while the latter structure produces 800mA/mm. This is probably due to the process procedure in the formation of ohmic contacts on the conventional HEMT not being optimized, unlike that of the latter device. This was found to be so when long term heat treatment for up to 18 hours improved the ohmic behavior of the HEMT. We concluded that this could be because of the in-diffusion of the metal towards the 2DEG during the heating, and this would allow the carriers to tunnel through the metalsemiconductor interface barrier with more ease. In order to realize the full potential of the conventional AlGaN/GaN HEMT in dc and rf performance, even for sub-micron devices, we see the importance of optimizing the ohmic contact formation. To do this, the optimum etch depth prior to ohmic metallization must be found. These steps are similar to that presented in Chapter 3 of this report. Further works can also be done in the study of the metallurgical phase change of Pd/Au Schottky contact and the Ti/Al/Pd/Au ohmic contact on AlGaN/GaN HEMTs after long term heat treatment. This is necessarily so if the AlGaN/GaN HEMT is to be used as a high temperature electronic device. After 43 hours of heat treatment at 600°C, the reverse bias leakage current of the Schottky diode has decreased by about 50%, but the contact surface morphology unfortunately has become poor. We need to make in-depth study of the effects of heat treatment on the Pd/Au Schottky contacts in order to fully exploit its benefits, to achieve better dc characteristics and yet not compromising the surface morphology of the contacts. This is to judge if Pd/Au can be used as the Schottky contact 88 for AlGaN/GaN HEMTs in high temperature applications, or other metals may need to be explored. Powerful and specialized electron microscopy such as transmission electron microscopy (TEM), energy filtered TEM, high resolution electron microscopy and EDX can be used to study the microstructures of the metal and semiconductor during and after heat treatment. The new AlGaN/GaN HEMT device structure design described in Chapter 5 produced simulated dc performances that were better than that of the conventional AlGaN/GaN HEMT design. However, this was not so for its rf performance which remained almost the same as the latter. This is sufficient to produce a new AlGaN/GaN HEMT with higher power operating at the same frequency. More studies can however be carried out to modify this new HEMT design to not only improve the dc performance, but also the rf performance. One possible avenue is the implementation of SiC as the substrate of the HEMT in replacement of sapphire. This may help in the conduction of excess heat during high power operations, which can hinder the device from reaching higher frequencies. All these are to be done at the simulation level until the results are satisfactory before any growth and fabrication of the actual device should be carried out. AlGaN/GaN HEMTs have shown great potential in high power, high frequency applications. [115, 116]. These devices however often experience limitations due to physical effects that prevent the devices from producing predicted performance from theoretical calculations. Specifically, these devices have shown to suffer from premature power saturation, current collapse and gain compression at relatively low rf input drive, as shown in Figure 6.1. 89 Current collapse Premature power saturation Power degeneration Gain compression Figure 6.1. Evidence of current collapse and premature power saturation in an 18 GHz AlGaN/GaN HEMT amplifier [117]. . Under normal operation of FETs in saturation conditions, an increase in rf drive would lead to an increase in dc current. However, under certain conditions, as shown in Figure 6.1, the dc drain current decreases with increasing rf input power. Such degradation in rf output power and power-added efficiency (PAE), and with the non-linearity in the output characteristics, application of these devices as power amplifiers may be severely limited in many communications and radar applications. As our group enters into the phase of fabricating high power microwave AlGaN/GaN HEMT devices, we shall be studying the causes of these effects with an aim to explain such phenomena and propose possible solutions to solve these problems. One possible avenue which we will be treading into is the possible effect of nonlinear charge behaviour such as surface states. High density surface charged states may deplete the conducting channel below, thereby causing a reduction in current especially when such states become increasingly charged, and hence leading to current collapse and premature saturation. 90 In the front ends of microwave systems such as in terrestrial communications, limiters or protection circuits are employed to protect low noise amplifiers (LNAs) from damaging signals. This protection circuitry increases the fabrication complexity and introduces additional noise to the receiver sub-system. GaN-based devices have shown the potential to be robust enough to remove the need for such front-end limiters, hence simplifying systems designs and the process of material growth and device processing and possibly improve the integration of circuits. Another possible future work is therefore to study both the power and noise characteristics of the AlGaN/GaN HEMT and develop a transmit/receive module to be built monolithically. Currently, excellent low noise figure in AlGaN/GaN HEMTs has been demonstrated by Nguyen et al [118] using a 0.15 µm gate length device. A minimum noise figure of 0.6 dB at 10 GHz with 13.5 dB associated gain has been achieved with a gate-drain breakdown voltage of 68V. For nitride-based transistors, the frequencies of interest are currently in the X-band and Ku-band ranges. Hence, one can design and develop devices to exhibit sub 1 dB noise figures at these frequency ranges, at least in the X-band. Some possible design issues that can be explored are: (i) lowering gate leakage current during device operation at high power, (ii) reduce device dimensions such as gate length and source-drain distance, and (iii) improved processing technique and material design to achieve lower contact resistance, high transconductance and high nsµ product. 91 References [1] D. E. Grider, N. X. Nguyen, C. Nguyen, “GaN MODFET microwave power technology for future generation radar and communications systems,” Solid State Electronics, vol. 43, pp. 1473-1478, 1999. [2] U. K. Mishra, Y. Wu, B. P. Keller, S. Keller, S. P. Denbaars, “GaN Micorwave Electronics,” IEEE Trans.on Microwave theory an techniques, vol. 46, no. 6, 1998. [3] Samoska, Lorene, Leong, Yoke Choy, “65-145 GHz InP MMIC HEMT medium power amplifiers,” IEEE MTT-S International Microwave Symposium Digest, vol. 1, pp. 1805-1808, 2001. [4] Boudrissa, M., Delos, E., Wallaert, X., Theron, D., De Jaeger, J.C, “A 0.15- m 60-GHz high-power composite channel GaInAs/InP HEMT with low gate current,” IEEE Electron Device Letters, vol. 22, no. 6, pp. 257-259, 2001. [5] Ma, Z.; Mohammadi, S.; Bhattacharya, P.; Katehi, L.P.B.; Alterovitz, S.A.; Ponchak, G.E., “High power X-band (8.4 GHz) SiGe/Si heterojunction bipolar transistor,” Electronics Lett., vol. 37, no. 12, pp. 790-791, 2001. 92 [6] J. Berntgen, A. Schueppen, P. Maier, M. Tortschanoff, W. Kraus, M. Averweg, “SiGe technology bears fruits”, Materials Science & Engineering B (Solid-State Materials for Advanced Technology), vol. B89, no. 1, pp. 13-20, 2002. [7] Goh, W.L.; Armstrong, B.M.; Gamble, H.S, “Buried metallic layers in silicon using wafer fusion bonding techniques,” Mediterranean Electrotechnical Conference, vol. 2, pp 625-628, 1994. [8] Y. Wu, B. J. Thibeault, B. P. Keller, S. P. Denbaars, U. K. Mishra, “3-Watt AlGaN/GaN HEMTs on Sapphire Substrates with Thermal Management by Flipchip bonding,” Electronics Lett., pp, 118-119, 1997 [9] J.Y. Duboz, “GaN as seen by the industry,” Physica Status Solidi (A) Applied Research, Vol. 176, Issue 1, pp. 5-14, 1999. [10] M. Roschke, F Schwierz, “Electron mobility models for 4H, 6H, and 3C SiC [MESFETs]”, IEEE Transactions, Vol. 48, Issue: 7, pp. 1442-1447, 2001. [11] A. T. Ping, M. Asif Khan, Q. Chen, J. W. Yang, I. Adesida, “Dependence of DC and RF characteristics on gate length for high current AlGaN/GaN HFETs,” Electronics Lett, vol. 33, no. 12, pp. 1081-1093, 1997. [12] G. J. Sullivan, M. Y. Chen, J. A. Higgins, J. W. Yang, Q. Chen, R. L. Pierson, B. T. McDermott, “High-Power 10-GHz Operation of AlGaN HEMTs on Insulating SiC,” IEEE Electron Device Lett., vol. 19, no. 6, pp. 198-200, 1998. [13] K. Chu et. al., WOCSEMMAD, Monterey, California, Feb 1998. [14] L. Eastman, K. Chu, W. Schaff, M. Murphy, N. G. Weirmann, T. Eutis, “High Frequency AlGaN/GaN MODFET’s,” Materials Research Society, vol. 2, article 17, 1997. 93 [15] M. Asif Khan, M. S. Shur, “GaN based transistors for high temperature applications,” Materials Science and Engineering B, vol. 46, pp. 69-73, 1997. [16] M. Shur, A. Khan, “GaN based field effect transistors,” High Temperature Electronics, Chapman, London, 1996. [17] P. M. Asbeck, E. T. Yu, S. S. Lau, G. J. Sullivan, J. V. Hove, J. Redwing, “Piezoelectric charge densities in AlGaN/GaN HFETs,” Electronics Lett., vol. 33, no. 14, pp. 1230-1231, 1997. [18] R. Gaska, M. S. Shur, A. D. Bykhovski, A. O. Orlov, G. L. Snider, “Electron mobility in modulation-doped AlGaN-GaN heterosturctures,” Appl. Phy. Lett., vol. 74, no. 2, pp. 287-289, 1999. [19] Y. F. Wu, B. P. Keller, P. Fini, J. Pusl, M. Le, N. X. Nguyen, C. Nguyen, D. Widman, S. Keller, S. P. Denbaars, U. K. Mishra, “Short-channel AlGaN/GaN MODFETs with power density >3W/mm at 18GHz,” Electronics Lett. vol. 33, no. 20, pp. 1742-1743, Sep 1997. [20] R. Li, S. J. Cai, L. Wong, Y. Chen , K. L. Wang, R. P. Smith, S. C. Martin, K. S. Boutros, J. M. Redwing, “An AlGaN/GaN undoped channel heterostructure field effect transistor with Fmax of 107 GHz,” IEEE Electron Dev. Lett, vol. 20, no. 7, 1999. [21] S. J. Pearton, F. Ren, A. P. Zhang, K. P. Lee, “Fabrication and performance of GaN electronic devices,” Material Science and Engineering, R30, pp. 55-212, 2000. [22] S. T. Sheppard, K. Doverspike, W. L. Pribble, S. T. Allen, J. W. Palmour, L. T. Kehias, and T. J. Jenkins, “High power microwave GaN/AlGaN HEMTs on semi- 94 insulating silicon carbide substrates,” IEEE Electron Device Lett. vol. 20, issue 4, pp. 161-163, 1999. [23] R. J. Trew, “Wide Bandgap Semiconductor Transistors for Microwave Power Amplifiers,” IEEE Microwave Magazine, vol. 1, Issue 1, pp. 46-54, 2000. [24] S. C. Binari, et al., “GaN-based electronic devices for high-power, high-speed, and high-temperature applications,” in Proc. Inter. Conf. Nitride Semicond,. pp. 476-478, 1997. [25] Y. F. Wu, B. P. Keller, D. Kapolnek, S. P. Denbaars, and U. K. Mishra, “Measured microwave power performance of AlGaN/GaN MODFET’s,” IEEE Electron Device Lett., vol. 17, pp. 455-457, 1996. [26] O. Akatas, Z. F. Fan, A. Botchkarev, S. N. Mohammad, M. Roth, T. Jenkins, L. Kehias, and H. Morkoc, “Microwave performance of AlGaN/GaN inverted MODFET,” IEEE Electron Device Lett., vol. 18, pp. 293-295, 1997. [27] Y. F. Wu, S. Keller, P. Kozodoy, B. P. Keller, P. Perikh, D. Kapolnek, S. P. Denbaars, and U. K. Mishra, “Bias dependent microwave performace of AlGaN/GaN MODFET’s up to 100V,” IEEE Electron Device Lett., vol. 18, pp. 290-292, 1997. [28] Y. F. Wu, B. P. Keller, N. X. Nguyen, M. Le, C. Nguyen, T. J. Jenkins, L. T. Kehias, S. P. Denbaars, and U. K. Mishra, “Short channel AlGaN/GaN MODFET’s with 50-GHz fT and 1.7-W/mm output-power at 10 GHz,” IEEE Electron Device Lett. vol. 18, pp. 438-440, 1997. [29] S.T. Shappard, K. Doverspike, W. L. Pribble, S. T. Allen, J. W. Palmour. 56th Device Research Conference, Charlottesville, VA, 22-24, 1998. 95 [30] Y. F. Wu, B. J. Thibeault, B. P. Keller, S. Keller, S. P. Denbaars, U. K. Mishra, Workshop on Heterostructure Microelectronics, Kanagawa, Japan, 1998. [31] S. Keller, Y.-F. Wu, G. Parish, N. Ziang, J. Xu, B. P. Keller, S. T. Denbaars, U. K. Mishra, “Gallium Nitride Based High Power Heterojunction Field Effect Transistors,” IEEE Trans. on Elect Dev., vol. 48, no. 3, pp. 552-559, 2001. [32] Z. Fan, S. N. Mohammad, O. Aktas, A. E. Botchkarev, A. Salvador, H. Morkoc, “Suppression of leakage currents and their effect on the electrical performance of AlGaN/GaN MODFETs,” Appl. Phys. Lett., vol. 69, no. 9, pp. 1229-1231. 1996. [33] J. P. Coling, “Fully-depleted SOI CMOS for analog applications,” IEEE Trans. Electron Devices, vol. 45, pp. 1010-1016, 1998. [34] P. Schmid, K. M. Lipka, J. Ibbertson, N. Nguyen, U. Mishra, L. Pond, C. Weitzel, and E. Kohn, “High-temperature performance of GaAs-based HFET structure containing LT-AlGaAs and LT-GaAs,” IEEE Electron Device Lett. vol. 19, pp. 225-227, 1998. [35] I. Daumiller, C. Kircjner, M. Kamp, K. J. Ebling, L. Pond, C. E. Weitzel, and E. Kohn, “Evaluation of AlGaN/GaN HFETs up to 750°C,” IEEE Electron Device Lett. pp. 114, 1999. [36] M. Asif Khan, Q. Chen, M. S. Shur, B. T. Dermott, J. A. Higgins, J. Burm, W. J. Schaff, and L. F. Eastman, “ GaN based heterostructure for high power devices,” Solid-State Electronics vol. 41, no. 10, pp. 1555-1559, 1997. [37] D. Flandre, S. Adriaensen, A. Akheyar, A. Crahay, L. Demeûs, P. Delatte, V. Dessard, B. Iniguez, A. Nève, B. Katschmarskyj, “Fully depleted SOI CMOS technology for heterogeneous micropower, high-temperature or RF microsystems,” Solid-State Electronics, Vol. 45, Issue 4, pp. 541-549, 2001. 96 [38] H. P. Maruska, J. J. Tietjen, “The preparation and properties of vapour-deposited single- crystal-line GaN,” Appl. Phys. Lett., vol.15, Issue 10, pp. 327-329, 1969. [39] J. I. Pankove, “Electrolytic etching of GaN,” Journal of the Electrochemical Society, vol. 119, Issue 8, pp. 1118-1119, 1972. [40] T. L. Chu, “Reduction of grain boundary effects in indium phosphide films by nitridation,” Journal of the Electrochemical Society, vol. 128, Issue 4, pp. 855859, 1981. [41] E. Lakshmi, “Dielectric properties of reactively sputtered gallium nitride-films,” Thin Solid Films, vol. 83, Issue 1, pp. L137-L139, 1981. [42] Y. Morimoto, “Few characteristics of epitaxial GaN-etching and thermal decomposition,” Journal of the Electrochemical Society, vol. 121, Issue 10, pp. 1383-1384, 1974. [43] A. Shintani, S.Minagawa, “Etching of GaN using phosphoric acid,” Journal of the Electrochemical Society, vol. 123, Issue 5, pp. 706-711, 1976. [44] K. Itoh, H. Amano, K. Hiramatsu, I. Akasaki, “Cathodoluminescence properties of undoped and Zn-doped AlxGa1-xN grown by metalorganic vapor phase epitaxy,” Jap. Journal of Appl. Phys., Part 1, vol. 30, Issue 8, pp. 1604-1608, 1991. [45] K. Ito, K. Hiramatsu, H. Amano, and I. Akasaki, “Preparation of AlxGa1-xN/GaN heterostructure by MOVPE”, Journal of Crystal Growth, vol.104, Issue 2, pp. 533-538, 1990. [46] S. N. Mohammad, A. Salvador, H. Morkoc, “Emerging gallium nitride based devices,” Proceedings of the IEEE, vol. 83, Issue 10, pp. 1306-1355, 1995. 97 [47] I. Akasaki, H. Amano: In Properties of Group III Nitrides, ed. By J. H. Edgar, EMIS Data Review Series (IEE, London 1994) p.222 [48] O. Lagerstedt, and B. Monemar, “Variation of lattice parameters in GaN with stoichiometry and doping,” Phys. Rev. B, vol. 19, Issue 6, pp. 3064-3070, 1979. [49] H. P. Maruska, L. J. Anderson, D. A. Stevenson, “Microstructural observations on gallium nitride light-emitting diodes,” Journal of the Electrochemical Society, vol. 121, Issue 9, pp. 1202-1207, 1974. [50] A. U. Sheleg, V. A. Savastenko: Vestsi Akad. Nauk, Ser. Fiz. –Mat. Nauk (SSSR) pp.126. 1977. [51] E. K. Sichel, and J. I. Pankove, “Thermal conductivity of GaN, 25-360K,” Journal of the Physics and Chemistry of Solids, vol. 38, Issue 3, pp.330, 1977. [52] G. A. Slack, “Nonmetallic crystals with high thermal conductivity,” Journal of the Physics and Chemistry of Solids, vol. 34, Issue 2, pp.321-335, 1973. [53] I. Basin, O. Knacke, O. Kubaschewski: Thermochemical Properties of Inorganic Substances (Springer, Berlin, Heidelberg 1997) [54] A. A. Oliner (ed.): Acoustic Surface Waves, Topics Appl. Phys., vol.24 Springer Berlin, Heidelberg. 1978 [55] G. A. Slack, “Nonmetallic crystals with high thermal conductivity,” Journal of the Physics and Chemistry of Solids, vol. 34, Issue 2, pp.321-335, 1973. [56] G. A. Slack, and T. F. McNelly, “AlN single crystals,” Journal of Crystal Growth, vol. 42, pp.560-563, 1977. 98 [57] G. A. Slack, R. A. Tanzilli, R. O. Pohl, and J. W. Vandersande, “The intrinsic thermal conductivity of AlN,” Journal of the Physics and Chemistry of Solids, vol. 48, Issue 7, pp. 641-647, 1987. [58] G. A. Slack, and S. F. Bartram, “Thermal expansion of some diamond-like crystals,” Journal of Applied Physics, vol. 46, Issue 1, pp.89-98, 1975. [59] W. M. Yim, and R. J. Paff, “Thermal expansion of AlN, sapphire, and silicon,” Journal of Applied Physics, vol. 45, Issue 3, pp. 1456-1457, 1974. [60] G. A. Slack, and T. F. McNelly, “Growth of high purity AlN crystals,” Journal of Crystal Growth, vol. 34, Issue 2, pp.263-279, 1976. [61] J. Edwards, K. Kawabe, G. Stevens, R. H. Tredgold: Solid State Commun. vol. 3, pp. 99, 1965. [62] K. Kawabe, R. H. Tredgold, Y. Inuishi: Elect. Eng. Jpn. vol. 87, pp. 62, 1967. [63] S. Yoshida, S. Misawa, and S. Gonda, “Properties of AlxGa1-xN films prepared by reactive molecular beam epitaxy,” Journal of Applied Physics, vol. 53, Issue 10, pp. 6844-6848, 1982. [64] Y. Koide, H. Itoh, M. R. H. Khan, K. Hiramatsu, N. Sawaki, and I. Akasaki, “Energy band-gap bowing parameter in an AlxGa1-x N alloy,” Journal of Applied Physics, vol. 61, Issue 9, pp. 4540-4543, 1987. [65] F. Stern and W. E. Howard. “Properties of Semiconductor Surface Inversion Layers in the Electric Quantum Limit.” Phys Rev., vol. 163, pp. 816-835, 1967. [66] L. D. Landau and E. M. Lifshitz. “Quantum Mechanics: Non-Relativistic Theory.” Oxford: Pergamon Press. 1977. 99 [67] O. Ambacher, J. Smart, J. R. Shealy, N. G. Weimann, K. Chu, M. Murphy, W. J. Schaff, and L .F. Eastman, R. Dimitrov, L. Wittmer, M. Stutzmann, W. Reiger, and J. Hilsenbeck, “Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures,” Journal of Appl. Phys., vol. 85, Issue 6, pp. 3222-3233, 1999. [68] P. M. Asbeck, E. T. Yu, S. S. Lau, G. J. Sullivan, J. V. Hove, and J. Redwing, “Piezoelectric charge densities in AlGaN/GaN HFETs,” Elect. Lett., vol. 33, Issue 14, pp.1230-1231, 1997. [69] A. D. Bykhovski, R. Gaska, and M. S. Shur, “Piezoelectric doping and elastic strain relaxation in AlGaN-GaN heterostructure field effect transistors,” Appl. Phys. Lett., vol. 73, Issue 24, pp.3577-3579, 1998. [70] A. F. Wright, “Elastic properties of zinc-blende and wurtzite AlN, GaN, and InN,” Journal of Appl. Phys., vol. 82, Issue 6, pp. 2833-2839, 1997. [71] E. T. Yu, G. J. Sullivan, P. M. Asbeck, C. D. Wang, D. Qiao, and S. S. Lau, “Measurement of piezoelectrically induced charge in GaN/AlGaN heterostructure field-effect transistors,” Appl. Phys. Lett., vol. 71, Issue 19, pp. 2794-2796, 1997. [72] L. S. Yu, D. J. Qiao, Q. J. Xing, S. S. Lau, K. S. Boutros, and J. M. Redwing, “Ni and Ti Schottky barriers on n-AlGaN grown on SiC substrates,” Appl. Phys. Lett., vol. 73, Issue 2, pp.238-240, 1998. [73] M. S. Shur, Mater. Res. Soc. Symp. Proc. vol. 483, pp. 15, 1998. [74] G. Martin, S. Strite, A. Botchkaev, A. Agarwal, A. Rockett, H. Morkoc, W. R. L. Lambrecht, and B. Segall, “Valence-band discontinuity between GaN and AlN measured by X-ray photoemission spectroscopy,” Appl. Phys. Lett., vol. 65, Issue 5, pp.610-612, 1994. 100 [75] G. Martin, A. Botchkaev, A. Rockett, H. Morkoc, “Valence-band discontinuities of wurtzite GaN, AlN, and InN heterojunctions measured by x-ray photoemission spectroscopy,” Appl. Phys. Lett., vol. 68, Issue 18, pp. 2541-2543, 1996. [76] D. Brunner, H. Angerer, E. Bustarret, R. Höpler, R. Dimitriv, O. Ambacher, and M. Stutzmann, “Optical constants of epitaxial AlGaN films and their temperature dependence,” Journal of Appl. Phys., vol. 82, Issue 10, pp. 5090-5096, 1997. [77] R. Oberhuber, G. Zandler, and P. Vogl, “Mobility of two-dimensional electrons in AlGaN/GaN modulation-doped field-effect transistors,” Appl. Phys. Lett., vol. 73, Issue 6, pp. 818-820, 1998. [78] S. M. Sze: Physics of Semiconductor Devices, chapter 5. Wiley, New York 1981. [79] E. H. Rhoderick, E. H. William: Metal Semiconductor Contacts Claredon, Oxford. 1998 [80] H. H. Berger. “International Solid State Circuit Conference” ISSCC, Digest Tech. Papers, pp. 160. [81] H. Murrmann and D. Widmann. “Current Crowding on Metal Contacts to Planar Devices,” IEEE Trans Electron Dev., vol. 16, pp. 1022, 1969. [82] H. H. Berger. “Models for Contacts to Planar Devices,” Solid State Electronics, vol. 15, pp. 145-158. 1972. [83] M. A. Khan, M. S. Shur and Q. Chen, “ Hall measurements and contact resistance in doped GaN/AlGaN heterostructures,” Appl. Phys. Lett., vol. 68, pp. 16721674, 1996. 101 [84] J. Burm, K. Chu, W. Schaff, L. F. Eastman, M. A. Khan, Q. Chen, J. W. Yang, and M. S. Shur. “ 0.12-µm Gate III-V Nitride HFET’s with High Contact Resistances,” IEEE Elec. Dev. Lett., vol. 18, pp. 141-143, 1997. [85] Y. F. Wu, S. Keller, P. Kozodoy, B. P. Keller, P. Parikh, D. Kapolnek, S. P. Denbaars, and U. K. Mishra, “Bias Dependent Microwave Performance of AlGaN/GaN MODFET’s Up To 100V,” IEEE Elec. Dev. Lett., vol. 18, pp. 290292, 1997. [86] A. N. Bright, P. J. Thomas, M. Weyland, D. M. Tricker, C. J. Humphreys and R. Davies, “Correlation of contact resistance with microstructure for Au/Ni/Ti/AlGaN/GaN ohmic contacts using transmission electron microscopy,” Journal of Appl. Phys., vol. 89, no. 6, pp. 3143-3150, 2001. [87] D. Qiao, Z. F. Guan, J. Carlton, S. S. Lau and G. J. Sullivan, “Low resistance ohmic contacts on AlGaN/GaN structures using implantation and the ‘advancing’ Ti/Al metallization,” Appl. Phys. Lett., vol. 74, no. 18, 1999. [88] E. F. Chor, D. Zhang, H. Gong, W .K. Chong, S. Y. Ong, “Electrical characterization, metallurgical investigation, and thermal stability studies of (Pd, Ti, Au) – based ohmic contacts,” Journal of Appl. Phys., vol. 87, no. 5, pp. 2437-2444, 2000. [89] A. Vescan, R. Dietrich, A. Wieszt, H. Tobler, H. Leier, JM. Van Hove, P.P. Chow, A. M. Wowchak, “MBE brown AlGaN/GaN MODFETs with high breakdown voltage,” Journal of Crystal Growth, 201, pp. 327-331, 1999. [90] Kenneth Chu, Michael J. Murphy, Jinwook Burm, William J. Schaff, and Lester Eastman, IEEE Trans. (1998) [91] S. Ruvimov, Z. Liliental-Weber and J. Washburn, IEEE Trans. (1999) 102 [92] L. S. Yu, D. J. Qiao, S. S. Lau, K. S. Boutros, J. M. Redwing, Appl. Phys. Lett. 73, 2, (1998) [93] J. Hilsenbeck, W. Rieger, E. Nebauer, W. John, G. Trankle, J. Wurfl, A. Ramakrishan, H. Obloh, Phys. Stat. Sol. 176, 183, (1999) [94] T. B Goh, “Schottky contacts for Gallium Nitride,” M.Eng Thesis, National University of Singapore, 2001. [95] O. Aktas, Z. Fan, A. Botchkarev, S. N. Mohammad, M. Roth, T. Jenkins, L. Kehias, and H. Morkoc, “Microwave Performance of AlGaN/GaN Inverted MODFET’s,” IEEE Electron Dev. Lett., vol. 18, no. 6, pp. 293-295, 1997. [96] Z. Fan, S. N. Mohammad, O. Aktas, A. E. Botcharev, A. Salvador, and H. Morkoc, “ Suppression of leakage currents and their effect on the electrical performance of AlGaN/GaN modulation doped field-effect transistors,” Appl. Phys. Lett., vol. 69, no. 9, pp. 1229-1231, 1996. [97] R. Li, S. J. Cai, L. Wong, Y, Chen, K. L. Wang, R. P. Smith, S. C. Martin, K. S. Boutros, and J. M. Redwing, “An Al0.3Ga0.7N/GaN undoped channel heterostructure field effect transistor with Fmax of 107 GHz,” IEEE Electron Dev. Lett. vol. 20, no. 7, pp. 323-325. 1999. [98] Q. Chen, J. W. Yang, R. Gaska, M. Asif Khan, M. Shur, G. J. Sullivan, A. L. Sailor, J. A. Higgings, A. T. Ping, and I. Adesida, “High Power Microwave 0.25µm gate doped-channel GaN/AlGaN Heterostructure Field Effect Transistor,” IEEE Elect. Dev. Lett., vol. 19, no. 2, 1998. [99] L. F. Eastman, “Results, Potential and Challenges of High Power GaN-based Transistors,” Phys. Stat. Sol. (a) vol. 176, pp. 175-178, 1999. 103 [100] L. Eastman, K. Chu, W. Schaff, M. Murphy, N. G. Weimann, and T. Eustis, “High Frequency AlGaN/GaN MODFET’s,” MRS. Nitride Semicond. Res., vol. 2, art. 17, 1997 [101] M. Asif Khan, M. Shur, “GaN based transistors for high temperature applications,” Mat. Sci. and Engrg. B, B46, pp. 69-73, 1997. [102] G. Sullivan, E. Gertner, R. Pittman, M. Chen, R. Pierson, A. Higgins, Q. Chen, J. W. Yang, Mat Res. Soc. Symp. Proc. 572, (1999) [103] O. Ambacher, J. Smart, J. R. Sealy, N. G. Weimann, K. Chu, M. Murphy, W. J. Schaff, L. F. Eastman, R. Dimitrov, L. Wittmer, M. Stutzmann, and W. Reiger, J. Hisenbeck, “2d electron gases induced by spontaneous and piezoelectric polarization charges,” J. Appl. Phys. vol. 85, pp. 3222-3233, 1999. [104] S. J. Pearton, J. C. Zolper, R. J. Shul, and F. Ren, “GaN: processing, defects and devices,” J. Appl. Phys. vol. 86, pp. R1, 1999. [105] P. A. Barnes, X. J. Zhang, M. L. Lovejoy, T. J. Drummond, H. P. Hjalmarson, M. Crawford, R. J. Shul, and J. C. Zolper, “Calculations of the specific resistance of contacts to III-V nitride compounds,” MRS Symp. Proc. vol. 395, pp. 849-854, 1996. [106] J. D. Albrecht, R. P. Wang, P. P. Ruden, M. Farahmand, and K. F. Brennan, “Electron transport characteristics of GaN for high temperature device modeling,” J. Appl. Phys. vol. 83, pp. 4777, 1998. [107] J. D. Albrecht, R. P. Wang, P. P. Ruden, M. Farahmand, E. Belotti, and K. F. Brennan, “Monte Carlo calculation of high- and low-field AlGaN electron transport characteristics,” MRS Symp. Proc. vol. 482, pp. 815, 1998. 104 [108] P.P. Ruden, J. D. Albrecht, A. Sutandi, S. C. Binari, K. Ikosso-Anastasiou, M. G. Ancona, R. L. Henry, D. D. Kolesked, and A. E. Wickenden, “Extrinsic performance limitations of AlGaN/GaN HFETs,” MRS J. Nitride Semicond. Res. vol. 4S1, G6.35, 1999. [109] K. M. Hoy, T. C. Chong, K. L. Teo, “Modelling of AlGaN/GaN modulation doped field effect transistor,” B.Eng Thesis, National University of Singapore, 1999. [110] O. Ambacher, O. Foutz, J. A. Smart, J. R. Shealy, N. G, Weimann, K. Chu, M. Murphy, A. J. Sierakowski, W. J. Schaff, W. J. Eastman, R. Dimitrov, A. Mitchell, and M. Stutzman, “Two dimensional electron gases induced by spontaneous and piezoelectric polarization in undoped and doped AlGaN/GaN heterostructures,” Journal of Appl. Phys, vol. 87, pp. 334- 2000. [111] E. L. Piner, D. M. Keogh, J. S. Flynn, J. M. Redwing, “AlGaN/GaN high electron mobility transistor structure design and effects on electrical properties,” Materials Research Society Symposium - Proceedings, vol. 595, pp. W4.4.1 - W4.4.5, 2000. [112] Z. Fan, S. N. Mohammad, O. Aktas, A. E. Botcharev, A. Salvador, and H. Morkoc, “ Suppression of leakage currents and their effect on the electrical performance of AlGaN/GaN modulation doped field-effect transistors,” Appl. Phys. Lett., vol. 69, no. 9, pp. 1229-1231, 1996. [113] O. Aktas, Z. Fan, A. Botchkarev, S. N. Mohammad, M. Roth, T. Jenkins, L. Kehias, and H. Morkoc, “Microwave Performance of AlGaN/GaN Inverted MODFET’s,” IEEE Electron Dev. Lett., vol. 18, no. 6, pp. 293-295, 1997 [114] M. Akita, S. Kishimoto, T. Mizutani, “High-frequency measurements of AlGaN/GaN HEMTs at high temperatures,” IEEE Elect. Dev. Lett., vol. 22, Issue 8, pp. 376-377, 2001. 105 [115] Y. F. Wu, D. Kapolnek, J. Ibbeston, N. Q. Zhang, P. Pharikh, B. P. Keller, U. K. Mishra, “High Al-content AlGaN/GaN HEMTs on SiC substrates with very high power performance,” IEDM Tech. Dig. pp.925-927, 1999. [116] S. T. Sheppard, W. L. Pribble, D. T. Emerson, Z. Ring, R. P. Simth, S. T. Allen, J. W. Palmour, “High power demonstration at 10 GHz with GaN-AlGaN HEMT hybrid amplifiers,” the 58th Device Research Conf., pp. 37-38, Denver, 2000. [117] R. J. Trew, “Modeling and limitations of AlGaN/GaN HFETs,” Semiconductor Device Research Symposium, 2001 International, pp. 432-435, 2001. [118] N. X. Nguyen, M. Micovic, W. –S. Wong, P. Hashimoto, P. Janke, D. Harvey, C. Nguyen, “Robust low microwave noise GaN MODFETs with 0.60dB noise figure at 10Ghz,” Electronics Lett., vol. 36, no. 5, 2000. 106 Appendix A Individual layers of the ac photo mask. (a) (b) 107 (c) (d) (e) A1. Individual layers of the ac photo mask: (a) Mesa isolation, (b) Source-drain ohmic contact, (c) Schottky gate contact, (d) Passivation window, and (e) Source interconnect. 108 Individual layers of the dc photo mask (a) (b) 109 (c) A2 Individual layers of the dc photo mask: (a) Mesa isolation, (b) Source-drain ohmic contact, and (c) Schottky gate contact. 110 [...]... and thermally compatible with GaN GaN, AlN and InN have been grown primarily on sapphire, most commonly the (0001) orientation In addition, III-nitrides have also been grown on Si, SiC, InP, ZnO, TiO2, and LiGaO2 2.7 The AlGaN /GaN High Electron Mobility Transistor 2.7.1 The structure of the conventional n+ - AlGaN /GaN HEMT The cross section of a conventional HEMT is shown in Figure 2.4 The source and. .. distance may vary according to speed, application, and yield requirements 19 Gate Source Drain + n AlGaN Undoped AlGaN Undoped GaN 2DEG AlN Sapphire Substrate Figure 2.4: Schematic of a conventional AlGaN /GaN HEMT EF 2DEG 100Å Gate Metal n+-AlGaN Donor Layer AlGaN Spacer Layer GaN Channel Layer Al2O3 Substrate Figure 2.5: Epitaxial layer structure and conduction band diagram for a HEMT under positive gate... strain -σ 2DEG GaN PSP The direction of polarization and the location of the 2DEG in Ga-face and N-face AlGaN HEMTs In both cases, the AlGaN layer is under tensile strain leading to both a spontaneous and piezoelectric component to the polarization For Ga-face material the direction of polarization causes the formation of a 2DEG at the lower interface In the N-face material the direction of polarization... as Si and Mg respond to the variation of the AlN mole fraction in AlGaN AlGaN with Al mole fraction as high as 50-60% is dopable by both n-type and p-type impurity atoms Until now, a low Al mole fraction of about 15% is sufficient for good optical field confinement 18 2.6 Substrates for Nitride Epitaxy Of the many challenges faced in the research of GaN, one of the major difficulties is the lack of a... in AlN, GaN and InN under very high pressures The wurtzite structure has a hexagonal unit cell and thus two lattice constants, c and a It contains six atoms of each type and consists of two interpenetrating Hexagonal Close Packed sublattices, each with one type of atom, offset along the c axis by 5/8 of the cell height The zincblende structure has a unit cell containing four group III elements and four... substrate, an AlN buffer layer, an undoped GaN “channel layer”, an undoped AlGaN “spacer layer”, a n-doped AlGaN “donor layer” and finally an undoped AlGaN “cap layer” The role of each layer will become apparent in this section The thickness of individual layers and their doping have a direct influence on the device properties and the performance of the HEMT The gate lengths and the source-drain distance may... from its ability to form alloys with GaN producing AlGaN and allowing the fabrication of AlGaN /GaN based electronic and optical devices, the latter of which could be active from the green wavelength into the ultraviolet 15 2.4.1 Thermal and Chemical Properties of AlN When crystallized in the hexagonal wurzite structure, the AlN crystal has a molar mass of 20.495 g It is an extremely hard ceramic material... different bandgaps are joined together to form a heterojunction, discontinuities in both the conduction and valence band edges occur at the heterointerface For the HEMT, the wide-bandgap material, for example AlGaN, is ndoped with Si donors The added charges bend the band edges and create a triangular potential well in the conduction-band edge of the lower bandgap material, for example, GaN Electrons... ( z ) dz z=d − =εB z = d1+ Here εA and εB are the static dielectric constants of the barrier and the channel layers, respectively ρ(z) is the charge density in both the depletion layer and the channel layer: ρ(z) = e[n(z) – p(z) + NA – ND] (2.7) where n(z) and p(z) are the densities of the electrons and holes, and NA and ND are the densities of the ionized donors and acceptors, respectively 22 Since... barrier and well materials is a requirement in attempts to analyze heterosturctures in quantum wells and superlattice In the nitride system, a wide scope of possible options is available for the construction of such structures The barriers formed can be materials such as AlGaN or GaN; while depending on the barrier material, the wells can be constructed of GaN or InGaN layers The energy bandgap of AlxGa1-xN ... fundamentals of the GaN related materials and the theory of the AlGaN /GaN HEMT are described The experimental procedures for the fabrication of ohmic contact, Schottky contact and AlGaN /GaN HEMTs are... majority of this semiconductor stems from its ability to form alloys with GaN producing AlGaN and allowing the fabrication of AlGaN /GaN based electronic and optical devices, the latter of which... the simulation of AlGaN /GaN HEMTs It is important to assess and quantify the performance that is realistically achievable in AlGaN /GaN HEMTs by studying optimal device geometry and material parameters

Ngày đăng: 05/10/2015, 22:32

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan