Nghiên cứu giải pháp tiết kiệm năng lượng trong thiết kế chuyển mạch sử dụng ở trung tâm dữ liệu (TT)

27 369 0
Nghiên cứu giải pháp tiết kiệm năng lượng trong thiết kế chuyển mạch sử dụng ở trung tâm dữ liệu (TT)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

      Chuyên    - 2015         .    1.   Hà N 2.  1 M U ng tiêu th ca thit b mng trong trung tâm d liu Theo các nghiên               Dynatmics 2012 ch và 2012 . N nhanh tr- 2020. T  30%   . ng %   .    nhói riêng  ban     còn  ( 6a  .                .  các ph sau: (1) -engineering), (2) ), (3)  g minh (Smart sleeping/standby) 2. Nhng v còn tn ti :  Khó có th tìm thy nhng công trình mang li cho ta thy chi tit v ng tiêu th ca các thành ph thit k li các b chuyn mch có kh tit king thì hiu sung ca các thành phn bên trong các b chuyn mch NetFPGA hin nay cc nghiên cu chi ti   ci thin hiu qu  xut trong các công trình công b trên vi mc ti vì trong hu ht kt qu nghiên cu thích ng tn s c áp dng cho mt s khi chi cho toàn b h thng.   s mng tiêu th ng tit kim ti mt mc s dng nhnh. Qua kho sát v các công trình nghiên cu trên v tit king trong trung tâm d liu. Ta thy rng công trình nghiên cu v tit king cho thit b mng hin nay c và không có nhic công b trên các tp chí, hi tho khoa hc và quc t. Mc dù ng tiêu th ca các thit b 2 mng chim không nh khong t n 30% ng tiêu th trong trung tâm d liu. Vì vy, vic u gii pháp tit king trong thit k chuyn mch s dng  trung tâm d li   tài mang tính thi s. 3. Mc tiêu, ng và phm vi nghiên cu  Mc tiêu nghiên cu:   xut các gii pháp tit king và thit k chuyn mch mi có chc t king theo b u khin NOX hoc POX.  xut gii pháp thit k mt chuyn mch t ng tit kiu vào.   xut các thut toán v gii hn nh nht, ln nht và trung bình ca ng tiêu th trên các thit b mng ti khác nhau.  xut ch s công sut trung bình A t l ng tit kim.   xut gii pháp WOL (Wake on Lan) cho chuyn mch OpenFlow giúp cho vic d dàng qun lý  u khin linh hot cho chuyn mch OpenFlow ng hp h thng trung tâm d liu có quy mô ln hoc khi s c xy ra.  ng nghiên cu:  Tp trung vào kin trúc chuyn mch OpenFlow trên nn tng Kit NetFPGA-1G, và bu khin OpenFlow c phát trin u tiên bi hc Standford.  Tp trung nghiên cu các thut toán thut mô hình hóa ng cho chuyn mch OpenFLow.  u lý thuyt kt hp thc nghim.  Phm vi nghiên cu:  Nghiên cu các gii pháp tit king và ch cho chuyn mch OpenFlow trên nn tng NetFPGA, trin khai trên h thng thc nghim bao gm b u khin NOX /POX, b ng và s dng board PCIEXT-64UB , cho .  ng tiêu th ca chuyn mch và t toán t NetFPGA-1G (4 cng) trong thc nghing tht toán bng Matlab trên các mô hình ng ca chuyn mch NetFPGA m rng có s cng ln   Ni dung ca lun án ch tp trung nghiên cu các gii pháp tit kim ng tiêu th cho chuyn mch OpenFlow. Các kt qu  c ca lu c các ng nghip s dng  mc ng tiêu th trên toàn mng trong kin trúc th nghim ECODANE. 4.     , và    .   3  Tng quan lý thuyt và các công ngh s dng trong trung tâm d liu 1.1.  C            Consumption in DAta Centre NEtworks based on Traffic Engineering)     -    cho t. 1.2.  Hình 1.5.  1.3. Các    ECODANE 1.3.1. OpenFlow   OpenFlow             (Software Defined Networking)    OpenFlow               chính:   Flow-table), kênh an toàn (Secure Channel), giao t  (OpenFlow Protocol). Hình 1.6.  4 1.3.2.   (Hình 1.8)                            ti              Hình 1.8.  1.3.3. COpenFlow trên  NetFPGA   (Hình 1.13)  Hình 1.13.   1.4.  bày .   .   các    OpenFlow .  Các gii pháp tit king cho chuyn mch OpenFlow 2.1.  C   -  i M  5 .   2.2. PhâOpenFlow 2.2.1 NetFPGA-1G Kit NetFPGA-1G là c  net    Hình 2.2    Host PC Chuyển mạch NetFPGA PCIEXT-64UB C0 C1 C2 C3 Chuyển mạch NetFPGA C0 C1 Chuyển mạch NetFPGA C0 C1 Chuyển mạch NetFPGA C0 C1 Chuyển mạch NetFPGA C0 C1 Thiết bị Oscilloscope 3.3V 5.0V Bo mạch hiển thị công suất C0 C1 C2 C3 Phát lưu lượng 1 C0 C1 C2 C3 Phát lưu lượng 2 C2 C2 C2 C2 Chú ý: Băng thông 800Mbps 1Gbps Hình 2.2.   NetFPGA 2.2.2  NetFPGA-1G  NetFPGA (1)     (2)    g, (3)   (4)  OpenFlow. Hình 2.4. Hình 2.4     NetFPGA OpenFlow   39% c 23.3% và  37.7%. 2.3.    chip FPGA  23.3%                         6 CC (Clock Controller),    NOX /POX    . 2.3.1.  N                 (2.1) (2.1),  f/2, f/4, f/8, f/16, f/32, f/64 2.3.2.   CC (Clock Controller) T CC   ,  CC CD Hình 2.5   Xử lý gói tin Bộ đệm vào Bộ đệm ra Gói tin vào Gói tin ra NF2CORE Clock Divider Xử lý gói tin Clock Controller UDP master_clock core clk cpci clk NF2TOP Giảm tần Hình 2.5.   )      Hình 2.5            , vv      hz. 2.3.3. OpenFlow                  OpenFlow  Bng 2.1. Bn tin OFPT_SWITCH_MOD gim tn Opflow header Datapath ID Switch state Option Pad 8bytes 8 bytes 1bytes 4bytes 3bytes F - - - - M2 M1 M0 7 0 Reserved ModeFlag Hình 2.6. Switch state 7 2.4.    37.7%          . 2.4.1. Nguyên  (link_rate) Trong BCM5464SR NIC có 4 thanh ghi  . OpenFlow OpenFlow   Hình 2.8. - - 27 - - - 31 2930 28 0 1 - - Hoạt động2 bit đầu 22 - - Địa chỉ thanh ghi [4:0] - - - 17 15 016 - - - - - TA Bit điều khiển dữ liệu [15:0] - Địa chỉ vật lý[4:0] Hình 2.8.  K  Hình 2.9) Lựa chọn tốc độ R - SP0 AN LP - - 6 0 - SP1 - - - 15 13 Chế độ công suất thấp Tự động thương lượng - 5 - - - Hình 2.9.  2.4.2. OpenFlow    Ethernet cho   OpenFlow.   Hình 2.10.        Bng 2.4. Bn tin OFPT_PORT_MOD OpenFlow header Port no MAC address Config Mask Link state Advertise Pad 8bytes 2bytes 6bytes 4bytes 4bytes 1bytes 4bytes 3bytes F - - - B1 B0 P1 P0 7 0 Link Rate Port No.Flag Reserved Hình 2.10. Link state   8 2.5.  POX 2.5.1.                 tên là Clock           Hình 2.12.  2.5.2.     OpenFlow  P  Hình 2.16. ETHERNET FPGA MII Control Register USER DATA PATH MDIO PORT [0:3] PC SOFTWARE DRIVER CONTROL SOFTWARE NF2_REG_GRP Hình 2.16. are)             ghi MII. 2.5.2.1.  Các c  Bng 2.5. Các ch  hong mi ca chuyn mch     125 MHz Idle/10Mbps/100Mbps/1Gbps  62.5 MHz Idle/10Mbps/100Mbps  3.90625 MHz Idle [...]... các giải pháp tiết kiệm năng lượng cho chuyển mạch như thay đổi tần số hoạt động trên toàn bộ chip NetFPGA, đề xuất giải pháp điều khiển mỗi cổng Ethernet chạy ở một số băng tần khác nhau nhằm tiết kiệm năng lượng cho chuyển mạch  Thiết kế chuyển mạch OpenFlow có khả năng tiết kiệm năng lượng dựa theo bản tin điều khiển OpenFlow mở rộng 24  Thiết kế thành công chuyển mạch OpenFlow tự động tiết kiệm. .. xuất các giải pháp tiết kiệm năng lượng cho chuyển mạch OpenFlow như: Giải pháp thay đổi tần số hoạt động, giải pháp thay đổi tốc độ link-rate trên mỗi cổng Ethernet Mở rộng các bản tin điều khiển OpenFlow cho các giải pháp đề xuất nhằm tiết kiệm năng cho chuyển mạch Đề xuất giải pháp thiết kế chuyển mạch tự động tiết kiệm năng lượng cho mạng OpenFlow theo lưu lượng đầu vào  Đề xuất phương pháp mô... cho chuyển mạch OpenFlow giúp cho việc dễ dàng quản lý và tăng khả năng điều khiển linh hoạt cho chuyển mạch OpenFlow trong trường hợp hệ thống trung tâm dữ liệu có quy mô lớn hoặc khi có sự cố xảy ra Hƣớng phát triển trong th i gian tới Toàn bộ nội dung và các kết quả đạt được trong luận án chỉ ra rằng nghiên cứu các giải pháp tiết kiệm năng lượng cho chuyển mạch trong trung tâm dữ liệu là rất cần thiết, ... đó năng lượng tiết kiệm sẽ được nhiều hơn  Trong chương này đã đề xuất phương pháp điều khiển mỗi cổng Ethernet chạy ở một số băng thông khác nhau tiết kiệm năng lượng nhiều hơn  Thiết kế chuyển mạch OpenFlow tiết kiệm năng lượng dựa theo bộ điều khiển NOX /POX và mở rộng bản tin điều khiển OpenFlow  Thiết kế thành công chuyển mạch tự động tiết kiệm năng lượng cho mạng OpenFlow dựa theo lưu lượng. .. tình hình nghiên cứu về tiết kiệm năng lượng cho chuyển mạch OpenFlow dựa trên nền tảng NetFPGA trong thời gian vừa qua Chương 1 đã giới thiệu về tầm quan trọng, đặc điểm kiến trúc mạng và các công nghệ sử dụng trong trung tâm dữ liệu Qua phần Mở đầu và Chương 1 giúp người đọc có một cái nhìn tổng quan về tầm quan trọng tiết kiệm năng lượng cho chuyển mạch, để hướng đến trung tâm mạng dữ liệu xanh,... mạch, đề xuất các giải pháp tiết kiệm năng lượng và xây dựng các chuyển mạch có chức năng tiết kiệm năng lượng Chỉ số công suất trung bình API cũng được đưa ra để đánh giá khả năng tiết kiệm năng lượng của chuyển mạch khi lưu lượng đầu vào biến đổi Cuối cùng, luận án trình bày phương pháp WOL cho chuyển mạch OpenFlow dựa trên nền tảng NetFPGA nhằm tiết kiệm năng lượng Phần mở đầu là một cuộc khảo sát nhỏ... năng lượng 10 2.6.2 Thiết kế khối phát hiện dữ liệu trên chuyển mạch OpenFlow Để thiết kế chuyển mạch tự động tiết kiệm năng lượng theo dữ liệu đầu vào, tác giả xây dựng thêm khối phát hiện dữ liệu DD (Data Detector) và khối điều khiển tần số FC (Frequency Controller) cho chuyển mạch OpenFlow như mô tả Hình 2.22 Khối phát hiện dữ liệu này có chức năng quản lý các trạng thái của chuyển mạch và đưa ra tín... động tiết kiệm năng lượng theo lưu lượng đầu vào Trong Chương 3, mô hình hóa năng lượng cho chuyển mạch đã đưa ra và chứng minh rằng dựa vào chỉ số EPI là chưa đủ để mô tả đặc tính tiêu thụ năng lượng của thiết bị mạng Vì vậy, phương pháp mô hình hóa năng lượng cho chuyển mạch được đưa ra dựa trên giới hạn năng lượng tối đa, tối thiểu cũng như năng lượng sử dụng trung bình Chỉ số công suất trung bình API... đặc tính tiêu thụ năng lượng của thiết bị mạng Đặc biệt, EPI không thể sử dụng để nghiên cứu đặc tính tiêu thụ năng lượng của thiết bị theo lưu lượng đầu vào Vì vậy, qua chương này luận án đã đạt được các kết quả sau:  Mô hình hóa năng lượng cho chuyển mạch dựa trên thuật toán giới hạn năng lượng tối đa và tối thiểu, cũng như năng lượng sử dụng trung bình  Ngoài ra, Chỉ số công suất trung bình API cũng... ta thấy năng lượng tiết kiệm của chuyển mạch phụ thuộc vào các lưu lượng đầu vào khác nhau Với lưu lượng đầu vào thấp, ít sử dụng như buổi đêm (khoảng 0h đến 6h) thì năng lượng tiết kiệm được lớn Trong thí nghiệm số 2, kết quả thu được cũng cho thấy mức năng lượng tiết kiệm được của chế độ Save Power là lớn nhất dao động từ 33% - 37% 2.7.4.2 Đánh giá khả năng đáp ứng của chuyển mạch mới Từ các kết quả . PC Chuyển mạch NetFPGA PCIEXT-64UB C0 C1 C2 C3 Chuyển mạch NetFPGA C0 C1 Chuyển mạch NetFPGA C0 C1 Chuyển mạch NetFPGA C0 C1 Chuyển mạch NetFPGA C0 C1 Thiết bị Oscilloscope 3.3V 5.0V Bo mạch. n 30% ng tiêu th trong trung tâm d liu. Vì vy, vic u gii pháp tit king trong thit k chuyn mch s dng  trung tâm d li   tài. s dng nhnh. Qua kho sát v các công trình nghiên cu trên v tit king trong trung tâm d liu. Ta thy rng công trình nghiên cu v tit king cho thit b mng

Ngày đăng: 04/05/2015, 10:55

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan