tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog

51 187 0
tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog tổng quan về hệ điều hành và thiết kế sequential logic using UDP dùng verilog

 Nhóm 14 1 z z    -     Sequential Logic Using UDP dùng Verilog  :   : : 1.     : DT17AHN 2008-2011 HÀ I ,ngày 25/ 04/ 2011   Nhóm 14 2  : 6  6 1.1.  6 1.2. Khá 6   .7 1.4 8.9  .10,11  12  12  12 II.  G 12  13  13  13  13 5. Cú pháp: 13  13  13  14  14 1.1. Cú pháp: 14  14  14 2.1. Cú pháp: 14  14  Nhóm 14 3 IV.  15  15 II. Wire: 16 III. Reg: 16 IV. Input, Output, Inout: 16  17 II. Supply 0, Supply1: 17 III. Time: 18  18 V 19  19  19  19  20  20  20  20  20 IX.  20  21  21  21 III. G 22  22 VII. MODULES 23 I. Khai báo modules: 23  23 III. Module instantiations: 24  25  25 II. Delay trong  26  Nhóm 14 4  26  26  27  27  27 VIII. Case: 27  28  28 II 29 X. HÀM 30 I. Khai báo hàm: 30  30 m: 31  32  32  32  32  33  33  33  33  33  34  34  34  35  35  36 .37 a. 37 .38  Nhóm 14 5   39.40  41  42  42,43  44  44  44,45  46 47 : 47  VERILOG.47,48,49  50  51  Nhóm 14 6   1.1.       HDL-    high s    - Là ngôn ng thuc lp ngôn ng máy tính(computer language - Dùng miêu t cu trúc và hot ng mt vi mch - Dùng mô phng, kim tra hot ng vi mch - Biu din hành vi theo thi gian va cu trúc khônggian ca mch  Nhóm 14 7 - Bao gm nhng ký hiu biu din thi gian và s1ng thi (time and concurrence) 1.3      Boolean h                              Nhóm 14 8 thái( finite-state-                  FPGA. 1.4           8-  -1076-1987).       Nhóm 14 9           -     -       -      -      -         Nhóm 14 10 -        1.5. /** * A behavioural model of a pipelined MAC unit. The two 4-bit inputs are * multiplied in an 8-bit multiplier, with the result added to a 10-bit * accumulator. The number of pipe stages is set by the 'stages' parameter, * which defaults to 1. * * RST Synchronous reset * C Clock * A[3:0] Data Input * B[3:0] Data Input * Q[9:0] Accumulator output */ module MAC1 (input RST, CLK, input [3:0] A, B, output [9:0] Q); parameter stages = 1; reg [7:0] mul; [...]... cứng thông dụng nhất, đƣợc dùng trong thiết kế IC, ngôn ngữ ki là VHDL HDL cho phép mô phỏng các thiết kế dễ dàng, sử chữ lỗi, hoặc thực nghiệm bằng những cấu trúc khác nh u Các thiết kế đƣợc mô tả trong HDL là những kỹ thuật độc lập, dễ thiết kế, dễ tháo gỡ, và thƣờng dể đọc hơn ở dạng biểu đồ, đặc biệt là ở các mạch điện l n Verilog thƣờng đƣợc dùng để mô tả thiết kế ở ốn dạng Thuật toán (một số lệnh... trị s u: 0: mức logic 0, hoặc điều kiện s i 1: mức logic 1, hoặc điều kiện đúng X: mức logic tuỳ định Z: trạng thái tổng trở c o X và Z dùng c gi i hạn trong tổng hợp (synthesis) II Wire: Mô tả vật liệu đƣờng dây dẫn trong một mạch điện và đƣợc dùng để kết nối các cổng h y các module Giá trị củ Wire c thể đọc, nhƣng không đƣợc gán trong hàm (function) hoặc khối (block) Nhóm 14 15 Thực hành nâng cao Wire... thực thi bởi 1 lệnh gán kế tiếp h y bởi sự kết nối Wire v i ngõ r củ 1 cổng hoặc 1 module Những dạng đặc biệt khác củ Wire: W nd(wired_ nd): giá trị phụ thuộc vào mức logic And toàn bộ bộ điều khiển kết nối đến Wire Wor (wired_or): giá trị phụ thuộc vào mức logic Or toàn bộ bộ điều khiển kết nối đến Wire Tri(three_st te): tất cả bộ điều khiển kết nối đến 1 tri phải ở trạng thái tổng trở c o 1 Cú pháp:... Thực hành nâng cao V TOÁN TỬ I Toán tử số học: Những toán tử này thực hiện các phép tính số học Dấu ‟+‟ và ‟-‟ c thể đƣợc sử dụng một trong h i toán tử đơn (-z) hoặc kép (x y) Toán tử +, -, *, /, % II Toán tử quan hệ: Toán tử qu n hệ so sánh h i toán hạng và trả về một đơn bit là 0 hoặc 1.Những toán tử này tổng hợp vào dụng cụ so sánh Biến Wire và Reg là những biến dƣơng Vì thế, (-3b001) = (3b111) và. .. định giá một trong h i biểu thức cơ bản trong một điều kiện N sẽ tổng hợp thành bộ đ cộng (MUX) Toán tử (điều kiện)? kết quả khi điều kiện đúng : kết quả khi điều kiện s i IX Thứ tự toán tử: Những toán tử trong mức giống nh u định giá từ trái s ng phải Nhóm 14 20 Thực hành nâng cao Toán tử [] Tên Chọn bit, chọn phần Phần trong ngoặc đơn () Mức logic và bit_wire NOT !,~ &, |, ~&, ~|, ^, ~^ Biến đổi:... i, hoặc b kí tự dùng để thực hiện các toán hạng tr n biến Các toán tử b o gồm >, +, &, != Nhóm 14 13 Thực hành nâng cao 7 Từ khóaVerilog: C những từ mà phải c ý nghĩ đặc biệt trong Verilog Ví dụ: assign, case, while, wire, reg, and, or, nand, và module Chúng không đƣợc dùng nhƣ từ định d nh Từ kh Verilog cũng b o gồm cả chỉ dẫn chƣơng trình bi n dịch và System T sk (hệ thống soạn thảo) và các hàm Á... TRON VER LO Các cổng logic cơ sở là một bộ phận củ ngôn ngữ Verilog C h i đặc tính đƣợc chỉ rõ là: drive_strenght và del y Drive_strenght chỉ sức bền củ cổng Độ bền ngõ r là sự kết nối một chiều đến nguồn, kế đ tạo n n sự kết nối trong suốt tr ns dẫn, kết thúc là tổng trở kéo l n hoặc xuống Drive_strenght thƣờng không đƣợc chỉ rõ, trong trƣờng hợp này độ bền mặc định là strong1 và strong0 Delay: nếu... Assign d= b;// giá trị d là mức logic củ phép And và b Wire [9:0] A; // vectơ A c 10 wire III Reg: Reg (register) là một đối tƣợng dữ liệu mà n chứ giá trị từ một thủ tục gán kế tiếp Chúng chỉ đƣợc dùng trong hàm và khối thủ tục Reg là một loại biến Verilog và không nhất thiết là th nh ghi tự nhi n Trong th nh ghi nhiều bit, d t đƣợc lƣu trữ bằng những chữ số không dấu và không c kí hiệu đuôi mở rộng,... giá trị trả về chắc chắn đƣợc biết trƣ c 1 Cú pháp: T n hàm(d nh sách biến) 2 Ví dụ: Assign a = b & c & chk_bc(b, c); Function chk_bc; Nhóm 14 22 Thực hành nâng cao Input c, b; Chk_bc = b^ c; Endfunction IV Wire, reg, và tham số: Wire, reg, và th m số c thể đuợc dùng nhƣ là các toán hạng trong biểu thức Verilog VII MODULES I Khai báo modules: Một module là bản thiết kế chủ yếu tồn tại trong Verilog Dòng... dữ liệu • Hành vi hoặc thủ tục đƣợc đề cập ở b n dƣ i Các lệnh thủ tục Verilog đƣợc dùng tạo một mẫu thiết kế ở mức c o hơn Chúng chỉ r những cách thức mạnh củ vệc làm r những thiết kế phức tạp Tuy nhi n, những th y đổi nhỏ n phƣơng pháp mã h c thể g y r biến đổi l n trong phần cứng Các lệnh thủ tục chỉ c thể đƣợc dùng trong những thủ tục I Những chỉ định theo thủ tục: Là những chỉ định dùng trong .     Sequential Logic Using UDP dùng Verilog  :   :. lp ngôn ng máy tính(computer language - Dùng miêu t cu trúc và hot ng mt vi mch - Dùng mô phng, kim tra hot ng vi mch - Biu din hành vi theo thi gian va cu trúc khônggian.  Nhóm 14 14 7. Từ khóaVerilog:  assign, case, while, wire, reg, and, or, nand, và module. Chúng   

Ngày đăng: 14/11/2014, 09:29

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan