Thiết kế mạch bằng máy tính part 9 potx

30 387 0
Thiết kế mạch bằng máy tính part 9 potx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

~~ z CHUONG VIII. CAC PHUONG PHAP KIEM TRA LOI M~CH LOGIC Trong chuang nay chung t6i trinll bay I11QI ,6 phuong phap phat hl¢n cac lui cua cac m~ch lOgic. Vi~c phal hi~n loi du\'· thl,rC hi¢n dl,l'a V~IO cac hQ gl{\ Iri Ihu nghi¢m phat hi¢n l6i. d d,ly vi¢c phat bl~n C[IC lui Ihiet ke co the th~fC hi~n tren cac m6 hinh bi~u dien bimg cac ng6n ngu· m6 hinh !loa phan dmg. Dl(~U nay dong tn(lt viii tro quan h·9ng trnng qua trlnh s,ln xu[it va l;un gl<.llll dLfC:K chi phi ki~111 Ira. Trong chuc1ng nilY cilling loi trIp Irung Chll yeu vilo de phuo·ng phap pil{).1 hi~n llli \,:1 1,.10 b() gI<'t Iri Ihu nghi¢m cho cac 1l1i).ch tel hqp. Doi voi nhiJng 111'.ICh tw.ln It.l", do eu che ' ho ~t d(mg pink t".IP cua chullg nen \'i¢c ph,it hi¢n loi c!trq·c 11H.((; hi¢n dua trell nhling phuong ph,ip pht."l"c 1~.lr han doi v6i de m<'.lch t6 heyp \";1 "Un1 ra ngn:li khu6n kho cuon sach nay. §8.1. C,ic 1116 hinh liii logic mli to{\ll ph,-!I hi9n 1l1J Irong eac l1li.\cb lOgic Iii bai toan xac d)nh so do logic duqc thkt ke thl,l'c hi~n dU<;1C cac chuc nang da de ra. D~ gii.li qUYCI bai tOtin nay ehung ta can phili X<l.y dl}"ng ma hlnh cua m~Kh logic nhu mQI d6i tuqng kie'm Ira, sau do xfty dl,l'ng phuong phap phat hi¢n loi V~I cuoi cung la xuy dl!ng m6 hll1h loi (eo nghitl la chi ra dU<jc cac d~c trung cua loi ). Ta da thfty rang thea 'luan diem phan 10i li 1l1i lch dL!<l vao hm~t d(mg clla chung, eac nwch l6gic dU(jc chia thanh thanh d.c m,~eh to hqp va cae m,~ch tU 11l 11,1'. N1Hf ta uJ. Ihi.ly trong cae ml!c tru6c. tren quan dic'm ve hOi.~1 d9ng, cae m'.lch If) h0P duqc the' hi¢n (} eh6 t1"<;lng. thai clla dc duu ra d 1119i tho-i diem Ihai gian dU(jc xac djnh hoan toan bing de tn.lI1g thai cua cac u[iu \'~\o tai cung m()1 th0i di6m. Nc~u xe\ t)"ei1 quan diem diu Irue, trong cac mi lch to h(."ip hoan toan khong chua cac vong tin hi~u phi.ln hoi. Neu xet Iren khia c<.mh pIliit hi¢n l6i, ca.c I11~ch t6 hQ"p lit nhiJng doi tuqng nghien euu kha dem gi~\.n. N6u xci tre:n quan diem hanh vi, lrong hm~t c1Qng cua cac lTH.lch turin It.! xual hi~i1 cac tr<;lng Ihai ben trong; con xel tren quan diem c[iu true, ellC nweh nay con chua cae \long phan h6i. Dieu do lam cho \'i¢c phat hi~n loi trong de m,!-ch luan It.! la mot bili Io<in v6 eung phuc ti).p. Ck h<) I1h6· \'a d.c b<) vi xu ly ve ly thuyc~1 cling Ihu¢e nhc5m cae 1l1<.lch lufin tl!, nhung VI so lU<jng de tn~ng Ihai trong CUi.1 chung n1"t 16n, do d6, n6i 243 chung. dc Ill'.ICh Illty kh(lI1g Ih~ x":·p \"ao nh6m c,ie 111<.lch lu:in Iy Vrfi nhCl"tlg d:ll" diem kh;tc hi~t nlHr \'(1)' \'l'i crlu truc \,;1 h~U1h \'i. \'i~c pil,l\ hil'l1 Ibi ch'ij \'(1'1 ciie h(l nh6' \'~l hr) vi XLt" I~' c,ln phili tinh den dc d,k lnrng n"la chung \'(~ ]ll,~ll cau Ink \'~l h~l1lh \'i, I\hu \';)y chLing ta !hfly dmg kh(lI1g Ihc cr'l plut"rmg ph;ip chung ell: ph;i\ hi~'1l !<;i Imng dc m',tch 16gic. De co Ih6 Ihy-c hien dUCK cr)!l,!! \'i~'c d6 lit dn ph,l] lUi! chon GtC phl!o'ng ph,ip ph,i! l1ien I(li linb tefi de d~\c Int"ng ella m,.tch. M()I hil.l loan quan Irqng. xual Illen klli X\ly clL.rng de pl1urmg. ph(lp ph:11 hi~'1] [('\i !i"1 il,ra chon di~1l1 (1.)1 eho de lin hi¢u Ihll nghicill \'~I d,c dicm quan ,,;il Ibfch hqp. Tnt'()'c d{IY. khi kicill tra e;lc 111i,lch lllgic pln"t"c lap. ehelllg la lju;m ",at de tIll hicll Ih(mg qua C<lC dicm nul bcn Irnng ell;l Ill,lCh. KIll merc d() 11ch ho'p ella 111;.1cl1 1,-mg knl{Si Jllu'e nlll( lrung de Ill;.lch LSI. \'i¢c (t(ll 1111":'1 hi \"'l(:m tra de llUt ben lrong 1ll<,le[J Ir(')' Ill'n phll"C I'.lp llO'll nhicll, Dil:U d6 L:lln "htl \'ll'l' d'.ll \';l quan ",;il de tin Ju¢u Ihtl" nghl¢m t:.,11 nlllIng dicm hill k~' Cll,1 m;'\L"h In')" nen \,(l dlllg kh() kh[\I1 \'tl Ilhi~u kllJ kh(mg the Ihll'l' hl¢n n(~!. :.JIm \'~Iy. pIllIon!,'. ph:lp ph:11 hi¢n Itli d(ii \'6i nhCmg 1ll<,ICh LSI chi dut,1'e "it' dUllg c;ic eh:m \';10 \';1 eh:1ll ra eua mach. Khi Lll) tich hop ella ll1ill"h limg Ill" LSI den VLSI. Iy l~ gILt',\ -;6 Iw.mg eh:ln hCII IIgo;li eLla 1ll;.lch \';1 ",6 IUr1ng plwll Ill' Irell tlllh Ihe h;11I (l:tn ~':J;'lnl. <-lICU d6 Ellll e!.to h~li 10:ln ph;il hiell 101 d(ii \'()"i nhLrng 111.,lel1 VLSI !rl)' n":n phCl"e lilp ho'll lllticll :-'0 \'r1'i \"~'e ph;11 hicn It)] eho CitC Ill,teh LSI. Vice t;lnt! lllere d() 11cl1 h(fp ell,\ m;,lcll elw phl~]1 :,\,'\y dl,rng nhCrIlf!, mach co chel"e nang phC!'e 1',lp. \'1 ell.1 niH!' Ciic jl() \'1 Xl]' I~'. dil:lI11;IY 1~1l11 t,-l1lg cit) pllll'c t;.I]1 clla h~\J to,in phill hien k)i. Vil'l' tlill r,\ de phuong ph'lp gi:li lIlIy":·t bi:li loan ph,il hi":n loi I~I cilia f.cho;i cllO \ ll'e Ih~rc hi~ll d,c mach VLSI \'~l d611g \'ai trl) H) cllllg quail tf(.lllg l!'Ung thtli d:'li ella de m.,leh VLSI VI 1ll:.H,:h dn c1l1\iC klC:1ll tra 1;\ m',leh h'lgie, nen la gl,l thie'l dlllg khi trong m;.teh c6 161. maeh vttn Ihl,J'c 11l¢n de ehuc n(mg nhu m(':l! mach j(lgJC, Cic lr'lJ thoa lllail dicll ki¢n n~ly dCli dune gqi Ii:I cal' It'll 16g1C. eic J()] I()gie bic'lI lu~n ,inh hu'bng eua c,ie 16i \'(11 I)' len h;mh \'i ella de h~ Ihong c1lfriC m(l hlnh h6a. VI trong qua trlnh llH'l hinh h6a cae ph:in Ill' mach chung 1<1 I,ieh hi¢t Gic chue Il;tng logic vi\ hi\nh vi Ihi)'i gian. eho nell \<1 si: ph{m ellia dc I()i Ihi:lIlh de nh61ll loi sall: NhOIl1 cac I(ii .lnh hUtI'llg tti"i c1nl'c n,ln~ lliglc O'liI ph ill Ill': i'<hl)l1l dc \(')i anh hUllng t6i d() tre tin hi~u eli qU'I ph:in Ill'. Cac j{l[ 10<,11 n;IY sc ;'mh hU<1'ng 16i Ih(Ji gJan linh to.tn clla ph;Ul Ilf \';\ do LIt) cling tlnh hUlmg k~i W;:11l m'.H.:h. Kill \<1 m() 1,1 dc 16i \',~I I~' nlHf elic i6i logic. la sc dunc llil(il1g Iu-i diem niH!" sall: Thlr nll[l\ lao hili 10,\.n ph[11J lich Ibi IHlth;:ll1h hill 10(111 l(lglC 110'11 Iii hi\i tmlll \,[11 I)'. Dit'll n:l)' lilln dl) phCre tilP ella h~1l Imin gl;im \'1 nhlcLl j(li \',-Jt I} kh,te nhau co Ihe: du'c:)"c mo hlnh h6a hilng cling m(ll I\\i IllgJC ThCf hal 1;:1 m<)1 ,,6 I(li Illgic I["('f nell khllllg phu thUllC \';10 Clll1g llgh~ Iheo nghla: Ct:lllg Im)1 lllO hlnh k,i dl Ihd su' dung trong nhicll c{mg ngh¢ khac nhau. Do d6 dc phuong philp kicm Ira \'i:1 ehu;in dual] (hfl.K x{\y d~fng eho molmo I1lnh loi sc khlmg thay dOl nga:,-"' ce'l khi (6 s~r Ihay (bl \'e eong ngh¢. Thlr ha Iii cae b() gi<.t tr\ thLi' nghicm dc' ph<.il hien ei\c 16i 16glC c6 the (hrl)'C sif (h.lIlg d6i \'6i d.e 10) V{lt ly co h:mh \'1 tmill'. maeh Child hO;1ll lnilll elLfO'c hieu ro hO(lC qu.\, piJCl'e 1' lp de e6 the ph,ln lich. Mc)t 1110 hlnh !oi eo th~ Iii 11111 hlnh fin hoi.le ml) hll1l1 \U'(lng I11mh. ;\1() hlnh lbi tu'('H1~ minh dc (tjnh m6t kh()ng gian It)i, [r(Jng d{l ILlng lc'li dw:c xcie djnh dQc 1(lp, \'i:\ do (k, loi SC phfll1 lich co the dU\ie X(IC djnh m()1 deh tLfo'ng minh. Tren tiurc Ie' ml1 hlnh !t)1 1Lro-ng 111illh Cll the clLnJC 1110' rlm):! Illy nllJ(:~n ph,'li (him h.lo du'Oc kfeh tlur6e ella kh()\lg gian 101 killing qUit 16'11. 1\16 hlnh h\i an xfly (hrng khong gian loi btlllg (('tcll ;(i\c dinh c{l IVa Chl)ll e:tc loi IU)' theo mClc d(l quan [,till Cilll ycu lhl)ng qu,1 c(tc tinh chill clla ehling. ~(yu cho tnr{J"c 16i IO~iC vit m(J hlnh mach, vc n~uycn l~'. Chlltlg la ~;: x(le djnh dUl.iC chCfC nang logic ella ll1Hch \'6i sv ton t,li cLla Illi nily lrong lllach. l\lnr \'(Iy, qu,\. lrlnh ml) h!nh ho.1 l(li lien quan 1l1,~1 11110.':'1 16i loa I Ill\'l hinh 1l1',lCh dang slr (h.mg. Tuy theo Il1l1 hinh 1l1;.leh CitC Itli logic C(') the dUl.~C chia .so hl) Ihimh de loai salt: C ic I(,i ehrq'c xac djnh gan lien vl-)i 1l1() hlnh cril' trllC ehrnc gO! Iii Ule Itli e[tll Irue .• ;\nh lllf6ng ella e,ic 16i du truc let 1~\Ill 1I1~ly (k)! "lX lien kc't "ilia de 111;lnh philil mi.lch. Cll III I duq'c X,-IC djllh gtm lien \'6i 1Il() hlllh Clllk nfmg eLla 1ll'.lcil duoc g(.)j 1:\ c(le It,i chCrc nfl11g. Vi elL.1. ,'mil hu('mg ella c(lC !6i clnk 2 l5 nimg c6 the lam Ihay deli hang ehun Iy cua thanh philn mi Ich hO~IC dan t6'i vi¢c cam cae phep toan tren thanh ghi. ;-"1i~e du cae loi ngau [lhien h04C loi cJc)1 hi~n co mi~1 tllLJOng xuyen trong mi H.:h, \'i¢c ma hlah hoa nhfrng li'li do yeu cau cae dfr li¢u Ihong ke \'~ s,! xUil1 hicn thea Xi.lc suat cua chung. l\'hO"ng dO" li~u nay can thlet de Xi.lc d!nh s6 l[in kiem If a mQt deh dqc I~p can I~p L.li de" cl,fc d~\i hoa )i.ae suilt phat hi~n nhO"ng loi chi xU<lt hi¢n viii Ian trang 111".lch v6i nhOng gia tri tht'r nghi¢Jl1. Thong thuang chung ta kh6ng c6 dfiy dll nhung thong lin vc m~lI thong ke. do cJ6 doi vai nhO"ng cJ(>1 bi6n hm)e xuAt hien kh6ng thu'ang xuycn. lot nhUt Iii. sti <.iI;lllg dc phuang phiip kiem ngl1J¢m In;J."c tuyen. Tmng. glao trlnh nay chung ta chi nghien cCru 16i cac h,i thuimg tl""l!c. Neu khang duqc nhk 16i m(lt cach lLro'ng minh, chung ta giii thiet dIng t".li mot thai ditm thai gian, trong m<'.lch chi c6 nhieu nhal lu nH)[ 16i. VI¢C Iillll dan gian hoa bili to{lI1 pld! hi¢n 16i hang gi::i thiet ve 1M dun Ie dw1C bi¢n minh bai chi(/II flwc 1.:/£"111 'Fa r/II(/f/lg xI/yell. Chien IWlc kitm tra thuimg xuyen co the" duqe phat hicu nhU sau: chung ta can kie:m tra h¢ Ih6ng m()t each tilliang xuycn sao cho xac suat xual hi¢n nhieu han mOl loi giCi"a hai Hin kiclll tra lien tiep lu du nh6. Do do neu khoi.lng thai gian hO'!t d¢ng eua m,.teh cang l6"n, ta sc co nhieu khu nang g~p tru'ong hqp xuat hi¢n nhicu 16i trong nwch mot lue. Tren thI!C te van XUi.lt hi en nhung IrLiang hqp ma chien luvc ki~m Ira tlmong xuycn khong du de ngan ch~1l twong hqp trong m'.lch Cll thc xuat hi~n nhieu loi m9t hic. NhO"ng truong hqp e6 Ihe: xuAt hi¢n nhieu hern HH)t loi trong mi lch co the iiI: Cac lai \,(It Iy c6 the: XU;!I hi¢n tmng llwch giil"a hat ][in kicm Ira Et. Trong nhfrng loi v~tt 15' do, m(H saloi c6 tht tuong (rng vai nhicu loi logic. Dicu nay c6 khu mlng x<1.y ra 16n cloi veii nhOng mi.lCh co d(> tfch hqp cao trong do nhieu loi \';)t Iy c6 Ih~ .lnh huang toi he 1l1~lt tinh the tren do e6 1119t so cac thanh ph[lll nwch. Trong nhO"ng mqeh mai duqc san mii't, thl trong nhung Ian thlr diiu nhCi"ng loi kcp e6 the xuat hi~n. Trong tlUang hqp nhO"ng phep IlIti khong phat hi¢n duqc het nhOng Uii don Ie, tai bat ky hie nuo, n1,!-eh co tM chua nhung loi chua duvc plli.it hi¢1l (loi ,1n). Nhfrng loi an nay, khi xu,Yt hi¢n nhfrllg loi dO'n Ie thu hai giua hai IiIn ki~m tra, sc I<;tO fa nhieu I<.\i kcp trong 1l1i.lch. Tuy \,~ly. mi~e du lrong 1l1i.lch e6 the xuat hi¢n nhicu 16i dtlng thai, nilU"ng nhCi"ng h9 gia trj thti nghi¢m dung de phat hi~n cae loi don JC e6 the dung de' 246 tim nhung 16i kep. Sa dl co the' thl!C hien duqc nhu v~y Iii. do Irong ph:ill [6n d.c truang hqp. nllll)lg hJi Up ("() the>" (iI(I(" plllft hifll klli kit"rn IIg!ti~;m !Hillg nhifng b(j gici tri tJllt nghi¢m thie l ! ki" clIO vi?'(" phdt hi¢1I lIill7ng IrSr dan {c'IWp tlulnh //ii kc'p I/()i frhl. ~6i chung. cdc nUl Mllh irS! ("(Ill {nie giu thie! rc1n;.;: ("{II- rliiillir pli,/'n khollg ("() J/ii WI eM co nl1l711g dlf(llIg kh n6i ellling /d ("() the" ("(J /r~I. Cic I()] c1~c trtfng do dc duang ket noi t<).o nen thuang lu: ngan m<;lch ho{!C he)' mach. Cae lOi ngcln mfJeh ( ch~p m<).ch ) la nhfrng 16i xual hil'n klli nhung duang truyen khong duqc phep lien ket bj ch(lp. Vi duo lrang nhicll cong ngh~. si! ngan m<;lch giua day dat ho~e ngu6n voi cae dUll"ng lin hi~u e6 the lam eho dUC1ng tin hi¢u bj ch~lp c6 muc di¢n the eo dinh. Khi do 16i logic tuang ung vai si! Ch~IP mi.~ch sc bao g6m nhCi"ng tin hi¢u nh,~n nhung gia tfj e6 dinh \·E{O.l f. Nhung 16i nay dmyc goi Iii. nhling loi hang so va (1trqc ky' hi¢u Iii. S-(/-\'. Sl! ch(lp nweh giLra iwi dUC1llg till hi¢u thuang sinh ra nhfrng ham 16gic mai vu dltq"C goi I~I d.e Ibi bac d1,u. Tuang ung vai nhung ham logic dUQ'c sinh ra do ch~p mi.lch, chung ta phan bi~t 16i bil.C d.u AND va 16i bac Ci."iu OR. Cae LOi IIllJch hir la ket qua CLla si! dlit dc ket noi. Trang nhieu eang ~ ~~~:nLJ 'I d,e dU"<!ng lin , hleu b, nghel1~, nghe n nhanh r , ., Innh ttl. Loi ho- mach: a) 16i her ml.lch ddn; b) 16i her mach kep. ngh~. s\! h6 m~ch tfcn nhGng (hrCing lin hi~u mot ehieu \'oi nx)1 nhanh phan ky se lam eho dUC1ng tin hi~u 'laO do trd thanh bj ngat vii nh(m IllOt gia tr~ logic eo djnh ( nhu lren hlnh 8.la ) va do d6 Iren duang tin hi¢u nay xuat hi¢n mot loi hung so .\'-(1-1'. Nhling loi nay cung co th~ la ket qUii clla nhung 16i Vi.}t I)' ben trong phan tt! dieu khien duang tin hi~u. Neu chung ta kh6ng ki~m tra gii.l tr! trcll hai duu cua duong tin hi¢u thl khong the ph{\ll bi¢t duqc hai tn1C1ng hqp noi Iren. Nhu v~y chung ta th:iy rang, mot loi logic dan Ie. VI dl,l nhu loi htmg so nh~n gii.l tr! a E {O, I) tren dul':mg tin hi¢u i co the: bieu dien cae loi v~t Iy hoan toan kh.:ic nhau: 16i do duong tin hi¢u i bi ngat: 16i do duang tin hi~u i bj ch~p voi ngu()n ho~c dal; bat ky mOl 16i V~lt Iy ben trong phan IU e6 dUC1ng tin hi~u i I~l dau fa va 16i nay lam eho duemg tin hi¢u i luon giG' gia trj bang (I. 247 Su 11(\ lll'.lcl1lfcn m{)t dlf(l'Ilg lin hl\'U c6 rc nh<inh co lile ~inh ra nJlI~lI 161 llang ,() lr~ll de nh<inh Illanll phiin (\'i d~l nilLr Ircn hll1h X,I h), t\'Cli ell! gi6i h;,lll nglucil eCru lll(l hinh 16i klng s(} ehm le. ebling, I'l pli,'u XI.!Ill xcI JllO! J()J h,-lllg ,Il d(in k Ircn e;ie nll<inll rC' eLLa dw\ng 1In hi\'u laeh bi~1 \,t'J'i ](ll uen llh,inh ehinh. Kili 1:\ Ilwe Ill~ll mil hillil l](l,i lll',lch lil-:o ILl'llg hU(l'c ph:'lll c,Yp. mell Ih;mil ph;'ul mach .,,0 dlH/C hicu tiil.'ll Ihc() c[le lllt1 Jdnh c;fu Inle ])':n Iron,:! ella ehLlng. Tuy Ilhj~ll. nc'u C<Ie Ihi'lllh r,\],\ll m;.lCh dUl.1C kicm Ira d{lC l<:lP Inn'rc kill clll'lJlg dU\K bp r;ip. klli ell) eheing la chi dn IhlC'1 ].;iemlra c<'le Ihi ,'l11il ilUCillg IIl'1 de dlr(\ng ],;0'1 nt)! gala de pll,ln ILf d(). : JIll! \,(Iy chung la '>c ]';hllllS XCIl1 \l'l etc loi xU,ll hl~ll b':n IlOng c,\c Ih;lnh ph,'l1l ll1;,lch m;1 chi gJ()'i h:,ln \'lCC kh:lO "'[11 de ll\i gt!!J \,{ri de eh:1Il \';IO!ra eLl,[ Ih;mh ph{in. lil,i Ihicl n:' gil)'j han etc j()i 1;.li Ch:1l1 \";'IO/ra g(.ll 1:\ /11(1 lIillll !tIl I~/; (Jlilll nio/w. Sal! c1:1Y elll'l1lg 1.1 XClll XcI 1l1l)1 6 \'1 dl,l \'C :lIlh hu('jug ella dc d •. lng 16i n()i Iren dC:Jl ehLre J],-lIlg ]()gle ella de lll',leh. Trollg c<ie lll:.lCh j()gic. kli h;\ng S() 1;.161 hay g'~lp. Kill IrCil m()1 dlJ'(\ng tin ~ -c (.r I· \ )+1I'+(.\"-; y) =x 1.\ '-II' Inuh KZ Vi du '-0 dll m,ldl 1().~1L" l1i\'Ll (/ XU:!I hi¢1l It'll h<'lllg S(l yil I Ull ello gi,i Iri I III hicu e(i d!I1h h;'lJl),! k 1111 It'>i J1;l~ '>0 dL(,l"C glH 1:'1 I(\i h:"IJlg sli gi,i II"! k \';\ dU\K' hi'::u dlCIl Irl'll ~()' d6 In'.ldl LI (ill. T.l h~ly X~I \"i eh.! m;.leh Ir~n hlllll S.l. \'':'-u lrong m'.lch c61(\i htlng s6 d/l Ih] d~iu ra ~ sC' b! Ihay d6i Y;'l It;'ull ra Cll.! 1ll;'Ieh ,>0 h;\ng: - -/ (\\' r 1'1 - .r + \' - - . .II , _ - Tmng IfUlf!lg hqp c() Ibl h~lllg s6,' :/0 Ih] h;Ull ra s0 h;\ng: ~ ==.(jll' cy) ==.1 + -" + II' Ilillh KZ Vi du lil.lch lllgK. t\·.:'u c.ie 16i h[l1lg '>(1 XU,t'l hien Irell c1ucmg lin bicu (() rc nh:ulh. la dn clui 5' xcI ;lllh hu/mg lall nhau ella ute loi Iren Gic rh~11l nh:lllh eLLa dUlfIlg lin hi¢u, Nhu I["ong \,( dy In:n. IrCIl c1ulmg lin hi~ll g XU<t"1 hicn il)! g/f), \':m d~ d:,ll ]';11:1 Ibl \li\\' c6 ,'tnh hu'('mg l6'i dui\ng lin hi¢u k kh()ng, Trong mt)1 "0 Inrcmg hq'p. nCLl chi :\~II()'i C"IC lien k0"1 giG'a dlc c1u'ong lin hi¢u IhI111()t deh IV nhiC'n, [(li Sj() "e,; g.\y ra [(}i k((): nhung ne'u xci Ircn quan c1iem \'[11 Iy Ihl di':u d6 Kh(lll~ eh~\c chtlil N(li chung. de (tim bao Iinh 16ng qUilL chung la dn ph:li gl<l t[u0"1 rtmg [(\1,' ;/0 vii k/IJ lil nillrng It)i Kh.IC nhau, Nhu v,)y, Irong Inri:ing IH,1]1 IrOll!; mach 'd.l:I't hlcn 161 k/I), h~\ln ra '>(: cho gi,i Iq :: biing: ::=/;",(\\',.I",y)= \\' .rr*(1i Trong lnri:l'llg hor nil)" h:l111 ra Kh<.lc \"(')'i trlfimg h<.1'p I(\i gj(). Tr{lng Irl((\ng hop lrollg 1ll',ICh xUllt hlcn \(')i ej() . m(ll ccich [ll" nhiC'IL dLli1g ta ct'i [hC: c~li Itli nilY l2t I[q) h(JP clla hai It'll g/() vii k/() l\11ll' \',:IY chLlllg ta thClY c() sv ph,11l nh<.lnh \':1 Ian Iruy(!n [/)i Ih.:o c,ie dl((\ng lin hi¢u lien KC"L. Tr'1m L.li. t hi c(l ,,~j' phfl11 nhilnh du'('mg lin hl~lI. eilling la c:ln ehu )' [l)'i nhu-ng khi\ n:lllg phal sinh 16i [ren C"IC nh[lI1h "il l hei n,-Illg xCI c;ie c1u'(\ng lin hieu phil]} nh;jnh: Ule clu'bng tin hi~'u n~lln sall diem rc nh<.lnh c6 the dlfl,ie coi Iii llhCl"Ilg dlflYilg IIIl hi~u kluic nh<lu, hO~lc tAl cii nhtlng (h[,\ng tin hlCU hcn J d nii llhau e6 Ihe duU"c coi !iI ellllg !l1t)t dui:mg:. f3i"IY gl{) ChLlIlg 1<1 xCt UIC j()i c11;)p mach. t\hlf Iren dJ dc qp ({"Ii. 11'011:; x 0 k \f> ,~~~£p-'-I llillh N,.' Ul[ Il.lL' l';lll \:'-l1)1 l'-d I ;"lI~\l Ill":[lllll[):; 1Il:ldllll'nliillh::-; 2. nhiC:u tru'('jng hop Ceil' h\i eh')[1 m<'lch I'.H) llC'1l nht:rng h\i bflc dill V~I dUnc d~lc Inrllg h('jl \'i¢c thue hlen dlc 11:1111 [(\glc A0JD h()~le OR. Khl IrOIl); m'.lch dl sl.l" ch,\p hal dU(\Il)l tin hl¢U <I \':1 II \'il '-;lIlh ra CiIl' h\1 hell' C~lU. lLrU"llg Cfll,:'- \'(\'j de h~\lll \('lgic m;1 ChLlIlg Ihe hlcn [;\ AND !JO;IC OR. dlc h\i n;IY s0 dune k~' 111cu \Uung ling 1,\ Al\D(a-h). OR(iI-b). Ta h:IY X~I \"I du mach IrCIl hillh X,2. nClI dlf(\ng lin hi0u (" va II b! eh:'!) "il sinh ra [(Ii dl,:IP Ill'.ICh htIC du AND( c-d ). hi\ll1 ra ,,;: ell lL.ll1g nhu S;IU: f"\"iJ/,.,,/II" r,yJ ~.I" + .\y + II" Y + .1" \\' r-,.·1(ll Irong nhCrng hili to{Ul co" b,'lll g:~n licn \'(')'i m() 111nll It,i [(lgie [il 11','\ [(\i C,'ILI h()i: m{l hinh [{li [(lgie c(l Ih~ ph"\ll {Inh cae [()j \'{II I)' xu.11 hi~'n lrong cie mach \'6i d() trullg tlure 1l~IO. -Dc U) I l lC nhi~n tlwJc Slf Ilfong uHg m(ll deh kh.ich quan \'l)'i dc l(\i \"(11 I~', ch(ing (,hI ph.ii Ilghi011 etill m(ll sCi !u\mg kill e,le mo hinh it'll [()gil'. Nhung Ull d("). m(ll kh(") kh,-m g~lp ph,li 1;1 dlCLI n;\y 1:1111 elm de Ihll.lt lo.ill t<'IO ciic bt'l giii In Ihlf nghiC'1ll dLIllf! de ph;11 111cn [ill Irii 2 1-9 ncn phuc tap han nhi~u. Doi v6i m(lt so m6 hlnh It'll logic nhu mo hinh 16i h:lIlg so, vi¢c tao d.c h¢ gii tr, thu nghi~m de phat hi~n 16i wong d6i dan gian. Them vdo do m¢t diem yeu ella m6 hlnh loi hang so lit m6 hinh 16i nay kh6ng phan unh m(lt each day du. cac dij.c t[nh clla cac loi \,\It \)'. §8.2. Bili tmin phat hi~n l<"Ii Trang ml.lc nay chung ta dLIa ra mQt so khai ni¢m ve hili Imin ma hlnh hO<.i l6i va phal hi¢n 16i trang dc nwch logic. Vi chung ta chi a cac mi teh logic lam hai nhom: de m;;teh 16 hqp va d.e m<.lch tuan ttl d~ra theo hanh vi hm.tt d(lllg clla m'.lCh. Ci.c 1m.1i m,~ch nay co diem khiic bi¢t d Sl! ton li.ll eua bQ nho va vong phan h6i. Do d6 cae phuong phap li.to de bQ gia Iri tht'r nghi¢m d6i v6i hai Im~i mi.~ch noi tl'en cung c6 nhiIng ditm khi.tc bi¢t. Chung ta sc xet ricng tung 10<;1i m~ch; mqt so phuong phap su dy.ng eho cae lmwh tel hqp ding co the phal tricn sang tru'ong hqp de mi.tell tuAn t~l' veli nhung slJ'a doi Ihich hqp. 1. Pilat hi~n loi trung cae m~ch to hlJp a. Bai toan ph at hi~n U)i Cho Z(x) Ii! ham logic clla mi.lch 16 hqp N v6i x la v-:cw gia tr! dfill \,~IO hAl ky vA Z(x) bieu Jien anh Xi.llhy.'c hi¢n h6i m~\eh N. V6i m(ll vce\(1 gi[l tl'! dfiu VilO ql the t = ( x t ,x 2 , ,x l1 ), ta se c6 Z(t) la dip ling dta m,:!eh N doi \'oi vceta t. Doi \'6i nhiIng mi.lch co nhieu d[iu ra, Z(t) cling lit vec10. Neu trang mi.leh xuat hi¢n 10iI, mi.lch N se chuycn th~mh I1li,1ch Nt. Ta gia Ihiet r:mg m;.lch N j c(jng lit lm~eh t6 hqp "oi ham ehuc n~\I1g Z/x). Mach dU'<;1e kie'm nghi¢m btlllg each d(lt day T eu.a cac veetlJ gia tl'j thu nghi('m t 1 ,t 2 , ,t,,, len c:.i.e d~iu V~tO eua m,;lCh va so sunil nhli'ng gia Il'i thu duq'c tl'en .Hu ra theo Iy thuyet eua nweh N tuang ling v6i nhling vceW dfiu "ao do: Z(lj)' Z(t2)'"'' Z(t",) v6i nhO'ng giil. tf! thu dUQ'e lren thl!e Ie. Chung la dua ra dinh nghia nhu sau: vceta gia tf! kiem nghi~m t duqc gqi lit phat hi¢n 16i f neu: Zj(t) 1:- Z(t). Khi ap d~mg dinh nghia trcn, chung la phai chu y nhi1ng diem dutii day: 250 Ciie veeta gia tfj ki6m nghi¢m trung day Teo th6 dUtJ'e su: dl;!ng kh6ng plW lhut)e 'laO trlnh tl! ap dL.mg, doi voi IlWeh 16 hqp N, day T dU(;iC gQi la I~p hqp cae vccto' gia tr! h~'m nghi¢m. Djnh nghla 11;'Y kh6ng ap dyng duqc neu m,!-eh ehua 16i N, trl11htHlh mach tufln t~r. Trang djnh nghii.l I1llY ehung ta gi,\ thiet n'ing vi¢e ki6m tm loi b,\ng each d~lt cae gi<.1 Iq Ihu nghi¢m va thu nh~Hl cae kc"! qmt th(mg qua de eh~1Il clla ph tn tu Va so siinh hoilll toan eua de ket l}lt<i nh~n du·qe. Chung la xac djnh h~lill: F,(t} == F/x"x! x,) = Z(x/.x 2 •.•• x,,,) EB Zrr/,X:"",xl/) t\'lm v('y, neu Z(t) -:;t; 2 1 (t) ta sc eo F/(t) nh(U1 gi[L tr! 'I '; con neu %(t) = 2 1 (t) b511g nhau, lue IiI F/f} nh~n gia Ir! '0', la noi rUng veeW giil. trj thtl." llghi~m t kh6ng ph~t hi¢l~ duqe loi f Ham F/t) eon duqe gQi la ham khoJ.ng each 10i. Veeta gia Ir! dau vao 1 = (X I 'X 2 • • x,,) lam eho F/t) =1 de phat hi¢n duqe loil va gQi Ia vceta gia Irj kiem nghi¢m plutt hi~n lc\if Ncu trang 111<.,\ch co p 16i viI ncu 1',//), FAt) , , FI'(t) la de ham khmlng deh WOJlg lrng \'6i tung loi, khi do de \'ecW gi,i Irj hem nghi¢m de pllL!t hl¢n nhil"ng Ill! do duqc 1,.10 thanh t(lp hqp: ,-, T(lp hqp BtlY g9i Iii. h~p cac gi[l Irj kiem nghi¢m de phat hi¢n 16i. Ta hay xct ll1<)t \'i dl;!, trC:n m~.lch hinh 8.4 xuilt hi¢n Il)ij"El 10i bAe c:iu OR Z 0< " Z, , 1 Hinh SA Vi du Inrollg lKJp 101 biic cau )(jgic OR. gilra hai du6ng tin hi~u '\"/V~I x 2 , Loi hAc C,ill n;IY dua de ham ra ri.ti ZI va 1:2 tny thanh: ZI,= x/ + X 2 (Ihay eha L/ = X/X 2 ) L2/= (XI +x 2 }x( (thay choZ 1 =X 2 .\"3) Veeta gia tr~ di.l.u va~ t = "011" ello· phep phat hi¢n loi nay VI vceta gia trj d:iu fa kili mach khCmg 16i bitng 1:(011 )=01 trang kill do khi XU;}t hi¢11 16i l Z,(OII)= II, Nhu V(IY, la co Z( I) -:t:- Z/ t) viI F, (t) = 1. 251 '~' 1 I G2 I L-f l ',~ l G3~ ~_~ G5 Z G4 Ilinh 1t5 Vi till \'~ ](li hillll" ,(l 1',/1) Ta :\0t tl10m vi dL,1 \'C CC\c [tSl him,S ~l-i dlii \'(ii lll<,ICIl tr0n hl11h ~ 5, M'.ICh n:\v tln,re hi~n h:lI11 16gll": Z=(.I.+,r,J.I, + ·1'11': (Ii;\ t[Het rClng trung mach :,\Uil't hi0lJ I,\i lI/lIlg ~(i r !;!I<ltri '0' .\"u-() tn~n dU'(\11g <10.\ ( \(ll _ljO ). V(i'i "V .'\ll~lt hi0n ella [(li "Ij(), t.1 IU(')ll d) 1", \~ = I \'~\ h:ll11 fa 1 •. 11 ltLl(~IIg. : Ira' Ih;1Ilh: ZJ = ( r: + Xi ).1 1 _ DICU ) 1~ll ph,it lli0n Itll Nllu "[IY mOl \'ceW gi.i In dCILl v;\o c6 x' \'J. x Iho.\ 111;111 (ti\5u kll':'n In':'1) - ILK . ~. " . Ll .1'1 = () v;\ .r. i = 1, 1:1 \'ceIO" gi;i tq kicll1 nghi~m ph;!1 11101\ I()i .I,m. Suy ra "Celo' ,gitl II! kicmnghi01ll ph(tt hi~ll [OJ x)O ctllhc b m()1 trung 11hCrng \'l'ClO' sau:! OIiIlUIOI UIIIII, 01 I I), " " " o o 1 Gl -r'~ G2 0/1 G3 -, 0 G4 0/1 G5 0/1 , \':1 quail "at 11;\1111 vi clla m.Kh 1J'llllg tnr(l'llg hop )"'hll!l,l! c(i Ill! \'::1 U'l Ill[ C() lImh .\ u-l [ren d:iu ra ella ph:lll III (;,. 1'1'011 I1lllh I'e dll'a ]''1 gi;i Ir! lin hll:U Irl:ll eic dUll'llg lin hi~'Ll Imllt:'- h,li IrlnJ-llg hop kh(Jllg I(li \'~\ c6 Ii)i dU(ii dang l'i1'I' IIOllg dl') \' 1::1 nhi:illt:'- gi-i II'l ItHing (l'Il~ \'(ii Inl'(\ng hop kh(mg Ihi \':1 I', - ((1 I/)j iJli dll\ic ph;il 111\'11 Ill:n d,'ltl ra gi,i 11'\ \'=() -I \', = 1. Vi dlt In'n Ill1n11 h()~l hal kh;ii 11~1ll C(i ~l'i lroll~ kll \O;ln ph;il 1l1l'n kll ella ell' Ill,.ICh \()gll': [...]... phu thu,:'}C tlfOg IrLl'll'llg l 19' p Cl.l IhJ \,~l dl.ra V~IO de 111.~1 iL.ra eh9n Cie lU;)1 hfa eh(~n c6 thJ c6 llhung (k)c diem nhu SilU: V;IO Cae lu;)t iL.ra eh91l eo th~ Iii nhung IUi)lnhu S . tru6c. tren quan dic'm ve hOi.~1 d9ng, cae m'.lch If) h0P duqc the' hi¢n (} eh6 t1"<;lng. thai clla dc duu ra d 1119i tho-i diem Ihai gian dU(jc xac djnh. - /','~I:: y + 11': L ul = X.Y w: L",,) = 0; L~,~,=x+ v+ \': 2 59 x , Y b w , k 9 h d IIlnh S.ll. Vi flu I11lllh hoa e110 viec ellUin do,in loi bang cae. dien bimg cac ng6n ngu· m6 hinh !loa phan dmg. Dl(~U nay dong tn(lt viii tro quan h·9ng trnng qua trlnh s,ln xu[it va l;un gl<.llll dLfC:K chi phi ki~111 Ira. Trong chuc1ng

Ngày đăng: 27/07/2014, 15:20

Trích đoạn

Tài liệu cùng người dùng

Tài liệu liên quan