1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Đề tài thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl

54 1 0
Tài liệu đã được kiểm tra trùng lặp

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Thông tin cơ bản

Tiêu đề Thiết kế và mô phỏng điều khiển động cơ PMSM bằng phương pháp điều chế vector và thuật toán SVPWM dựa trên công nghệ FPGA và ngôn ngữ VHDL
Tác giả Đỗ Hữu Thi, Nguyễn Văn Mến, Võ Văn Vũ, Văn Ngọc Phương, Lê Văn Tuần
Người hướng dẫn TS. Nguyễn Khánh Quang
Trường học Trường Đại học Bách khoa, Đại học Đà Nẵng
Chuyên ngành Kỹ Thuật Điều Khiển Và Tự Động Hóa
Thể loại Đồ án liên môn
Thành phố Đà Nẵng
Định dạng
Số trang 54
Dung lượng 2,55 MB

Cấu trúc

  • CHƯƠNG 1. TỔNG QUAN VỀ HỆ THỐNG (6)
    • 1.1 Giới thiệu (6)
      • 1.1.1 Đặt vấn đề (6)
      • 1.1.2 Tổng quan về động cơ đồng bộ (7)
      • 1.1.3 Phương pháp điều khiển động cơ (8)
      • 1.1.4 Yêu cầu đề tài (8)
  • CHƯƠNG 2. TỔNG HỢP PHẦN ĐIỀU KHIỂN CỦA HỆ THỐNG (10)
    • 2.1 Cấu trúc bộ điều khiển (0)
    • 2.2 Các phương trình và tham số của các thành phần trong hệ thống (11)
      • 2.2.1 Bộ điều khiển PI (11)
      • 2.2.2 Khâu chuyển đổi các hệ tọa độ (12)
      • 2.2.3 Khâu mô hình từ thông (13)
      • 2.2.4 Khâu điều chế vector (13)
  • CHƯƠNG 3. THỰC HIỆN LẬP TRÌNH HỆ THỐNG CHO FPGA BẰNG NGÔN NGỮ VHDL (16)
    • 3.1 TỔNG QUAN VỀ FPGA VÀ NGÔN NGỮ VHDL (16)
      • 3.1.1 Sơ lược về FPGA (16)
      • 3.1.2 Sơ lược về ngôn ngữ VHDL (18)
      • 3.1.3 Lựa chọn phần mềm thiết kế (19)
    • 3.2 TRIỂN KHAI BỘ ĐIỀU KHIỂN SỬ DỤNG NGÔN NGỮ VHDL BẰNG HDL COSIMULATION/SIMULINK (20)
      • 3.2.1 Giới thiệu về xử lý tuần tự (FSM) (20)
      • 3.2.2 Thiết lập bộ điều khiển PI cho mạch vòng tốc độ trong VHDL (20)
  • SVPWM 28 (31)
    • 3.2.5 Thiết lập khâu tính toán chuyển đổi góc từ thông rotor 37 (0)
    • 3.2.6 Tích hợp các khâu thành phần mạch vòng kín 38 (0)
  • CHƯƠNG 4. MÔ PHỎNG VÀ ĐÁNH GIÁ (44)
    • 4.1 MÔ PHỎNG TRÊN MATLAB SIMULINK (44)
      • 4.1.3 Mô phỏng toàn bộ hệ thống (48)
    • 4.2 KẾT LUẬN VÀ ĐÁNH GIÁ (52)
  • TÀI LIỆU THAM KHẢO (54)

Nội dung

TRƯỜNG ĐẠI HỌC BÁCH KHOAKHOA ĐIỆN ĐỒ ÁN LIÊN MÔN PBL4 THIẾT KẾ HỆ THỐNG TRUYỀN ĐỘNG ĐIỆN NÂNG CAO ĐỀ TÀI : THIẾT KẾ VÀ MÔ PHỎNG ĐIỀU KHIỂN ĐỘNG CƠ PMSM BẰNG PHƯƠNG PHÁP ĐIỀU CHẾ VECTOR V

TỔNG QUAN VỀ HỆ THỐNG

Giới thiệu

Trong lĩnh vực cơ điện tử, việc kiểm soát chính xác các yếu tố như mô-men xoắn, tốc độ và vị trí là rất quan trọng và cần thiết cho nhiều hệ thống như máy CNC, robot công nghiệp, xe điện, thang máy và nhiều ứng dụng khác Điều này đặc biệt đúng trong các ứng dụng điều khiển truyền động điện phổ biến như hệ thống bơm và nén khí, nơi việc điều chỉnh tốc độ truyền động điện có thể giúp tăng cường hiệu quả sử dụng năng lượng và tối ưu hóa hiệu suất hoạt động.

Mục tiêu của việc điều khiển truyền động điện bao gồm:

 Đảm bảo vị trí hoặc tốc độ của hệ truyền động điện đạt được các giá trị đặt trước một cách chính xác.

 Điều chỉnh mô-men xoắn của hệ truyền động điện để phù hợp với yêu cầu vận hành cụ thể.

 Tăng cường tiết kiệm năng lượng và nâng cao hiệu quả hoạt động tổng thể của hệ thống.

Ngoài những mục tiêu trên, hệ thống truyền động điện hiện đại còn đòi hỏi sự tích hợp của các công nghệ tiên tiến như điều khiển thông minh, cảm biến hiện đại và các thuật toán tối ưu hóa để cải thiện hiệu suất Sự phát triển và ứng dụng các hệ thống này không chỉ giúp nâng cao độ chính xác và hiệu quả của các thiết bị cơ điện mà còn đóng góp vào việc giảm tiêu thụ năng lượng, bảo vệ môi trường, và thúc đẩy sự phát triển bền vững trong công nghiệp và cuộc sống hàng ngày.

Trong bối cảnh các yêu cầu về hiệu suất và bảo vệ môi trường ngày càng cao, nghiên cứu và phát triển các hệ thống truyền động điện tiên tiến trở thành một nhiệm vụ quan trọng Những hệ thống này không chỉ cần phải đáp ứng được các yêu cầu kỹ thuật khắt khe mà còn phải thân thiện với môi trường và tiết kiệm năng lượng Việc này không chỉ mang lại lợi ích kinh tế mà còn góp phần vào việc giảm thiểu tác động tiêu cực đến môi trường sống của chúng ta.

Vì vậy, việc thiết kế các hệ thống truyền động điện nâng cao không chỉ là một thách thức kỹ thuật mà còn là một đóng góp có giá trị cho sự phát triển bền vững của xã hội

1.1.2 Tổng quan về động cơ đồng bộ Động cơ đồng bộ ba pha từ lâu đã được sử dụng phổ biến trong các ứng dụng không yêu cầu điều chỉnh tốc độ, với công suất từ hàng trăm kW đến hàng MW, như trong các hệ thống truyền động của máy nén khí, quạt gió, bơm nước, và máy nghiền. Tuy nhiên, với sự tiến bộ vượt bậc trong công nghệ điện tử và vật liệu, động cơ đồng bộ hiện nay đã được nghiên cứu và ứng dụng rộng rãi trong công nghiệp với dải công suất đa dạng, từ vài trăm W cho đến hàng MW Những ứng dụng này bao gồm cả truyền động cho các cơ cấu ăn dao của máy cắt gọt kim loại, cơ cấu chuyển động của tay máy và robot, cho đến các hệ thống truyền động kéo tàu tốc độ cao TGV, máy nghiền, máy cán và nhiều thiết bị công nghiệp khác. Động cơ đồng bộ hiện đại thường được chia thành hai loại chính: động cơ đồng bộ rotor dây quấn (WRSM) và động cơ đồng bộ nam châm vĩnh cửu (PMSM) Động cơ đồng bộ rotor dây quấn (WRSM) thích hợp cho các ứng dụng đòi hỏi khả năng điều khiển mô-men xoắn cao và linh hoạt, trong khi đó động cơ đồng bộ nam châm vĩnh cửu (PMSM) lại nổi bật với hiệu suất cao và độ tin cậy vượt trội nhờ việc sử dụng nam châm vĩnh cửu để tạo từ trường kích từ.

Trong đề tài này, chúng ta sẻ tập trung nghiên cứu và ứng dụng động cơ đồng bộ kích từ bằng nam châm vĩnh cửu (PMSM) Động cơ PMSM không chỉ có hiệu suất năng lượng cao mà còn có kích thước nhỏ gọn, phù hợp với các yêu cầu hiện đại của công nghiệp tự động hóa Việc sử dụng nam châm vĩnh cửu giúp giảm thiểu tổn hao năng lượng và nâng cao hiệu quả hoạt động, làm cho loại động cơ này trở thành lựa chọn lý tưởng cho các ứng dụng yêu cầu độ chính xác và độ tin cậy cao.

Việc nghiên cứu và phát triển các ứng dụng của động cơ PMSM không chỉ đáp ứng nhu cầu ngày càng cao của công nghiệp mà còn góp phần thúc đẩy sự phát triển bền vững, nhờ vào khả năng tiết kiệm năng lượng và giảm thiểu tác động môi trường. Chính vì vậy, động cơ đồng bộ nam châm vĩnh cửu đang ngày càng khẳng định vị thế của mình trong các lĩnh vực ứng dụng hiện đại.

1.1.3 Phương pháp điều khiển động cơ

Các phương pháp điều khiển động cơ bao gồm:

▪ Điều khiển vô hướng (Scalar Control)

▪ Điều khiển vector: o Điều khiển theo phương pháp tựa theo từ thông (FOC) o Điều khiển trực tiếp mômen (DTC) o Điều khiển trực tiếp từ thông (DFC)

▪ Điều khiển không cần đo tốc độ

▪ Điều khiển phi tuyến, điều khiển mạng nơron,…

Trong số này, hai phương pháp được ứng dụng rộng rãi trong thực tế là: phương pháp điều khiển tựa theo từ thông (FOC) và phương pháp điều khiển trực tiếp mô-men (DTC) Trong đề tài này, chúng em lựa chọn sử dụng phương pháp điều khiển điều khiển trực tiếp tựa theo từ thông rotor (rotor flux orientation) để điều khiển động cơ đồng bộ nam châm vĩnh cửu (PMSM) nhằm đạt được độ chính xác và hiệu suất caoPhương pháp điều khiển tựa theo từ trường

Nguyên tắc phương pháp này là tách các thành phần tạo ra mômen và từ trường từ ba pha dòng điện stator sao cho có thể điều khiển độc lập hai thành phần mômen và từ trường giống như động cơ điện một chiều.

1.1.4 Yêu cầu đề tài Đề tài của chúng em tập trung vào việc thiết kế một hệ thống truyền động điện nâng cao nhằm điều khiển tốc độ động cơ đồng bộ nam châm vĩnh cửu (PMSM) sao cho vận hành bám sát giá trị tốc độ đặt mong muốn Hệ thống này được thiết kế để đạt hiệu suất cao, độ chính xác tốt và đáp ứng nhanh chóng.

Trong quá trình thiết kế, chúng em sử dụng FPGA để thực hiện điều khiển. FPGA (Field Programmable Gate Array) cho phép xử lý song song và tốc độ cao, rất phù hợp cho các ứng dụng đòi hỏi khả năng xử lý nhanh và chính xác Mã điều khiển sẽ được viết bằng ngôn ngữ VHDL (VHSIC Hardware Description Language), một ngôn ngữ mô tả phần cứng mạnh mẽ, giúp tạo ra các mô-đun điều khiển tùy chỉnh tối ưu cho hệ thống truyền động. Để đảm bảo tính chính xác và hiệu quả của hệ thống điều khiển, chúng em sẽ mô phỏng toàn bộ hệ thống trên MATLAB Simulink Simulink cung cấp một môi trường mạnh mẽ để mô phỏng và phân tích các hệ thống động lực học, cho phép chúng em kiểm tra và tối ưu hóa các thuật toán điều khiển trước khi triển khai trên FPGA.

Các bước chính của đề tài bao gồm:

 Phân tích và thiết kế hệ thống điều khiển: Xác định các yêu cầu kỹ thuật, lựa chọn phương pháp điều khiển phù hợp (ví dụ: điều khiển tựa theo từ thông - FOC) và thiết kế sơ đồ khối tổng thể của hệ thống.

 Lập trình điều khiển trên FPGA: Viết mã VHDL để hiện thực hóa các thuật toán điều khiển trên FPGA, tối ưu hóa mã để đảm bảo hiệu suất cao.

 Mô phỏng và kiểm tra trên MATLAB Simulink: Mô phỏng toàn bộ hệ thống truyền động và điều khiển trên MATLAB Simulink để kiểm tra tính chính xác và hiệu quả của các thuật toán điều khiển Điều chỉnh và tối ưu hóa các tham số mô phỏng để đạt được hiệu suất mong muốn.

 Triển khai và thử nghiệm: Triển khai hệ thống điều khiển trên phần cứng thực tế, tiến hành các thử nghiệm để đánh giá hiệu suất và độ tin cậy của hệ thống trong các điều kiện vận hành thực tế.

TỔNG HỢP PHẦN ĐIỀU KHIỂN CỦA HỆ THỐNG

Các phương trình và tham số của các thành phần trong hệ thống

Thông thường, khi điều khiển động cơ, người ta thường sử dụng bộ điều khiển

PI do có cấu trúc đơn giản và bền vững Chất lượng điều khiển của hệ thống phụ thuộc khá lớn vào các thông số của bộ điều khiển Tuy nhiên trong quá trình vận hành, do ảnh hưởng của nhiễu và các sai số, thông số của bộ điều khiển cần được điều chỉnh để đáp ứng các yêu cầu chất lượng của hệ thống.

Bộ điều chỉnh tỉ lệ - tích phân (PI) là cấu trúc ghép song song của khâu P và khâu I Tín hiệu ra của bộ PI là tổng tín hiệu ra của hai khâu thành phần.

Hình 2-2 Sơ đồ khối của bộ điều chỉnh tỉ lệ - tích phân

Phương trình vi phân: u(t)=K p ⅇ(t)+K i ∫ⅇ(t)ⅆtt=K P ( e t + T 1 i

Hàm truyền trong miền Laplace: G PI ( s)= K p ( 1+ T 1 I S ) = K p + K S i (2 2)

2.2.1.2 Phương pháp chọn hệ số K p , K i :

Do trong đồ án này ta không xác định được mô hình hàm truyền đạt cho hệ thống nên ta tiến hành xác định các thông số PI bằng tay Sử dụng phương pháp điều chỉnh thủ công: Ban đầu, KI và KP lúc đầu được gán bằng 0 Tăng dần KP cho đến khi đầu ra đáp ứng của vòng điều khiển dao động, sau đó KP được cố định và tiếp tục điều chỉnh KI đến giá trị phù hợp để khử các sai số xác lập Tuy nhiên, KI quá lớn sẽ gây mất ổn định

Thứ tự tìm thông số PI ta thực hiện dò tìm từ bộ điều khiển dòng điện ở mạch vòng dòng rồi đến bộ điều khiển tốc độ

Ta có kết quả sau khi thực hiện thao tác điều chỉnh thủ công

 Bộ PI điều khiển tốc độ Kp@00; Ki@

 Bộ PI điều khiển dòng id: Kp 00; Ki0

 Bộ PI điều khiển dòng iq: Kp 00; Ki00

2.2.2 Khâu chuyển đổi các hệ tọa độ

Phương trình toán của chuyển đổi Clark

Phương trình toán của chuyển đổi Park

Phương trình toán của chuyển đổi Clark -1

Phương trình toán của chuyển đổi Park -1

2.2.3 Khâu mô hình từ thông:

Có nhiệm vụ tính từ thông rotor ψ ' rd và góc quay θ s trên cơ sở các đại lượng đo được như i sd , i sq , ω r

Góc pha θ s của từ thông rotor được tính bằng tích phân tốc độ góc ω s θ s =θ s (0)+∫

0 t ω s dt(2.7) Tốc độ góc ω s : ω s =ω+ω r =ω r + i qs

2.2.4 Khâu điều chế vector không gian:

SVPWM là kỹ thuật điều khiển đươc ứng dụng rộng rãi trong điều khiển thiết bị điện tử công suất Trạng thái đóng cắt của 6 khóa điện tử công suất (S1~S6) dựa trên thuật toán SVPWM tạo thành tám vector điện áp cung cấp cho động cơ Trong đó vector V0 và V7 cho điện áp ngõ ra bằng không Vì vậy vector điện áp Uout trên động cơ được tính toán dựa trên 6 vector còn lại

Dựa vào vector điện áp mong muốn Uout ta xác định được vị trí sector của nó trong mặt phẳng toạ độ đồng thời tính toán được thời gian tồn tại của các vector điện áp thành phần để tạo ra vector Vref đó Theo phương pháp điều chế vecto không gian SVPWM ta thành lập được các công thức như sau:

Hình 2-3 Các sector trong SVPWM

Tại mỗi Sector điện áp ngõ ra có thể được tính toán theo công thức sau:

Trong đó T0 = T - T1 - T2 và T là nửa chu kỳ PWM

Cụ thể tại mỗi sector như sau:

Cụ thể cho từng section như sau:

Nếu như tại điều kiện bão hòa nơi mà T1 + T2> T, thì T1 và T2 sẽ được thay đổi như sau:

Từ đó ta có thời gian đóng ngắt các van như sau:

CMPR1 T ao n T bo n T con T con T bo n T ao n

C MPR2 T bo n T ao n T ao n T bo n T con T con

C MPR3 T con T con T bo n T ao n T ao n T bo n

Thời gian đóng cắt của xung PWM trong mỗi sector như sau:

THỰC HIỆN LẬP TRÌNH HỆ THỐNG CHO FPGA BẰNG NGÔN NGỮ VHDL

TỔNG QUAN VỀ FPGA VÀ NGÔN NGỮ VHDL

FPGA (Field-Programmable Gate Array) là một loại mạch tích hợp số (digital integrated circuit) mà chức năng của nó không cố định khi sản xuất mà có thể được lập trình và cấu hình lại bởi người sử dụng sau khi sản xuất, bằng cách sử dụng ngôn ngữ mô tả phần cứng (HDL - Hardware Description Language) như VHDL hoặc Verilog.

- Khả năng tùy chỉnh cao: FPGA có thể được lập trình lại để thực hiện các chức năng phần cứng khác nhau, điều này cho phép linh hoạt trong thiết kế

- Hiệu suất cao: FPGA cung cấp hiệu suất tính toán cao, đặc biệt là cho các ứng dụng có yêu cầu xử lý dữ liệu lớn và thời gian đáp ứng nhanh

- Thời gian phát triển ngắn: FPGA cho phép phát triển nhanh chóng với chu kỳ phát triển ngắn hơn so với vi điều khiển và bo mạch nhúng

- Tiết kiệm năng lượng: FPGA tiêu thụ ít năng lượng hơn so với các giải pháp phần cứng khác như vi điều khiển và bo mạch nhúng

- Độ linh hoạt cao: FPGA cho phép thực hiện nhiều chức năng và thay đổi chức năng một cách dễ dàng bằng cách lập trình lại

- Giá thành cao: FPGA thường có giá thành cao hơn so với vi điều khiển và bo mạch nhúng, đặc biệt là với các ứng dụng có yêu cầu sản phẩm lớn

- Khó lập trình: Lập trình FPGA đòi hỏi kiến thức chuyên sâu về phần cứng và ngôn ngữ mô tả phần cứng (HDL) như VHDL hoặc Verilog

- Thời gian thiết kế dài: Việc phát triển và kiểm tra một thiết kế FPGA có thể mất thời gian hơn so với vi điều khiển và bo mạch nhúng.

Hình 3-1 Bộ phát triển FPGA Cyclone V GT

3.1.2 Sơ lược về ngôn ngữ VHDL

VHDL (VHSIC Hardware Description Language) là một ngôn ngữ mô tả phần cứng chuẩn hóa bởi IEEE (Institute of Electrical and Electronics Engineers) trong chuẩn IEEE 1076 VHDL được sử dụng để mô tả và mô phỏng hành vi và cấu trúc của các hệ thống số và thiết kế các mạch tích hợp tốc độ cao.

VHDL cho phép các nhà thiết kế mô tả chi tiết về cả hành vi (behavioral) và cấu trúc (structural) của các mạch điện tử Nó hỗ trợ cả mô tả mức cao (từ chức năng hệ thống) và mức thấp (từ mức cổng logic).

- Tính chính xác và chi tiết: VHDL cho phép mô tả chi tiết về cả hành vi và thời gian của các hệ thống số.

- Mô phỏng mạnh mẽ: Khả năng mô phỏng chính xác giúp kiểm tra và xác minh thiết kế trước khi triển khai thực tế.

- Tái sử dụng và module hóa: Thiết kế bằng VHDL có thể tái sử dụng trong nhiều dự án khác nhau nhờ cấu trúc module hóa.

- Khả năng tổng hợp: VHDL hỗ trợ chuyển đổi từ mô tả hành vi sang cấu trúc phần cứng, có thể tổng hợp thành các mạch logic trong FPGA hoặc ASIC.

- Chuẩn hóa: Là ngôn ngữ chuẩn hóa bởi IEEE, VHDL đảm bảo tính tương thích và độ tin cậy cao trong các thiết kế.

- Độ phức tạp: Việc học và sử dụng VHDL có thể khá phức tạp, đặc biệt đối với người mới bắt đầu.

- Cú pháp phức tạp: Cú pháp của VHDL khá nghiêm ngặt và chi tiết, có thể làm tăng thời gian phát triển ban đầu.

- Hiệu suất mô phỏng: Đôi khi mô phỏng bằng VHDL có thể chậm hơn so với một số ngôn ngữ mô tả phần cứng khác.

- Quản lý thời gian: Việc mô phỏng chính xác thời gian có thể phức tạp và đòi hỏi sự hiểu biết sâu về ngôn ngữ và thiết kế số

3.1.3 Lựa chọn phần mềm thiết kế

Mentor Graphics ModelSim SE là phần mềm chuyên dụng trong kỹ thuật điện tử dùng mô phỏng FPGA Phạm vi viết mã và khả năng gỡ lỗi cần thiết để mô phỏng nhiều khối và hệ thống ModelSim 2020 còn hỗ trợ toàn diện VHDL, Verilog, SystemVerilog for Design và SystemC cung cấp nền tảng vững chắc xác minh thiết kế đơn và đa ngôn ngữ.

Với ModelSim, ta có thể thấy đầy đủ những thông tin như tín hiệu, chu kỳ gỡ lỗi nhanh hơn Môi trường mô phỏng và gỡ lỗi hợp nhất dễ sử dụng cung cấp cho các nhà thiết kế FPGA và các khả năng nâng cao mà họ đang phát triển giúp công việc của họ đạt hiệu quả cao hơn.

Chương trình mô phỏng cung cấp một giao diện liên kết giữa Matlab/Simulink và ModelSim để thực hiện việc kích hoạt, thực thi các đoạn mã viết bằng VHDL/Verilog trong thời gian thực.

Hình 3-2 Modelsim/Simulink Co-simulation

TRIỂN KHAI BỘ ĐIỀU KHIỂN SỬ DỤNG NGÔN NGỮ VHDL BẰNG HDL COSIMULATION/SIMULINK

3.2.1 Giới thiệu về xử lý tuần tự (FSM)

Trong VHDL, Sequential processing using Finite State Machine (FSM) là một kỹ thuật được sử dụng để mô tả các hành vi tuần tự của một hệ thống dựa trên trạng thái của nó Một FSM bao gồm một tập hợp các trạng thái và các quan hệ chuyển đổi giữa các trạng thái này dựa trên các điều kiện đầu vào FSM thường được sử dụng để điều khiển hoạt động của các thiết bị điện tử, từ các hệ thống đơn giản đến các hệ thống phức tạp.

Trong code VHDL, một FSM thường được triển khai bằng cách sử dụng một sequential process (process tuần tự) để mô tả các trạng thái và chuyển đổi giữa chúng. Các trạng thái thường được biểu diễn bằng các biến state, và các chuyển đổi giữa các trạng thái thường được kiểm tra dựa trên điều kiện đầu vào và trạng thái hiện tại của FSM.

Hình 3-3 Ví dụ về xử lý tuần tự bộ điều khiển PI

3.2.2 Thiết lập bộ điều khiển PI cho mạch vòng tốc độ trong VHDL

3.2.2.1 Công thức toán học bộ điều khiển PI

Phương trình toán của bộ điều khiển PI

Hình 3-4 Sơ đồ xử lý tuần tự bộ điều khiển PI

USE IEEE.std_logic_1164.all;

USE IEEE.std_logic_arith.all;

USE IEEE.std_logic_signed.all;

USE lpm.LPM_COMPONENTS.ALL;

CMD,Kp,Ki:IN STD_LOGIC_VECTOR(15 downto 0):=(others=>'0'); Rotor_speed :IN STD_LOGIC_VECTOR(15 downto 0):=(others=>'0'); up_out, ui_out : OUT STD_LOGIC_VECTOR(11 downto 0);

Speed_out:out STD_LOGIC_VECTOR(11 downto 0):=(others=>'0') );

ARCHITECTURE PI_arch OF PI IS

SIGNAL Info_speed : STD_LOGIC_VECTOR(15 downto

SIGNAL e1,Un, error, error1, error_gain, error_x_gain :

STD_LOGIC_VECTOR(15 downto 0):=(others=>'0');

SIGNAL mula1,mulb1 : STD_LOGIC_VECTOR(15 downto 0):=(others=>'0'); SIGNAL mulr1 : STD_LOGIC_VECTOR(31 downto

SIGNAL adda1,addb1,addr1,addr : STD_LOGIC_VECTOR(15 downto

SIGNAL ui : STD_LOGIC_VECTOR(15 downto

SIGNAL CNT: STD_LOGIC_VECTOR(15 downto 0):=(others=>'0'); SIGNAL overflow, cout : STD_LOGIC;

BEGIN mull: lpm_mult generic map(LPM_WIDTHA=>16,LPM_WIDTHB=>16,LPM_WIDTHS=>16,LPM_WIDTHP=>32,

LPM_REPRESENTATION=>"SIGNED",LPM_PIPELINE=>1) port map(dataa=> mula1,datab=> mulb1,clock=> clk,result=> mulr1); adder1: lpm_add_sub generic map(lpm_width=>16,LPM_REPRESENTATION=>"SIGNED",lpm_pipeline=>1) port map(dataa=>adda1,datab=>addb1,clock=> clk,result=>addr, overflow => overflow, cout => cout); error_gain "Sin_625.mif", lpm_address_control => "REGISTERED", lpm_outdata => "UNREGISTERED")

PORT MAP(ADDRESS => sin_addr, inclock => clk, q => sin_teta); u2 : lpm_rom

GENERIC MAP(lpm_width => 12, lpm_widthad => 12, lpm_file => "Cos_625.mif",

PORT MAP(ADDRESS => cos_addr, inclock => clk, q => cos_teta); u3 : lpm_rom

GENERIC MAP(lpm_width => 12, lpm_widthad => 12, lpm_file => "Sin_625.mif", lpm_address_control => "REGISTERED", lpm_outdata => "UNREGISTERED")

PORT MAP(ADDRESS => sin_addr_20, inclock => clk, q => sin_teta_20); u4 : lpm_rom

GENERIC MAP(lpm_width => 12, lpm_widthad => 12, lpm_file => "Cos_625.mif", lpm_address_control => "REGISTERED", lpm_outdata => "UNREGISTERED")

PORT MAP(ADDRESS => cos_addr_20, inclock => clk, q => cos_teta_20); mull: lpm_mult generic map(LPM_WIDTHA=>12,LPM_WIDTHB=>12,LPM_WIDTHS=>12,LPM_WIDTHP=>24,LPM_R EPRESENTATION=>"SIGNED",LPM_PIPELINE=>1) port map(dataa=> mula,datab=> mulb,clock=> clk,result=> mulr); adder1: lpm_add_sub generic map(lpm_width=>12,LPM_REPRESENTATION=>"SIGNED",lpm_pipeline=>1) port map(dataa=>adda,datab=>addb,clock=> clk,result=>addr,overflow=>overflow,cout=>cout); process (clk,addr)

BEGIN if clk'event and clk='1' then if overflow='1' then if cout='1' then addrs

Ngày đăng: 19/11/2024, 16:07

HÌNH ẢNH LIÊN QUAN

Hình 2-1 Cấu trúc bộ điều khiển - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 2 1 Cấu trúc bộ điều khiển (Trang 10)
Hình 2-3 Các sector trong SVPWM - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 2 3 Các sector trong SVPWM (Trang 14)
Hình 3-2 Modelsim/Simulink Co-simulation - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 3 2 Modelsim/Simulink Co-simulation (Trang 19)
Hình 4-1 Sơ đồ mô phỏng khâu SVPWM - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 1 Sơ đồ mô phỏng khâu SVPWM (Trang 44)
Hình 4-3 Đồ thị xung PWM1,3,5 qua bộ lọc - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 3 Đồ thị xung PWM1,3,5 qua bộ lọc (Trang 45)
Hình 4-2 Giá trị đặt cmd_iq - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 2 Giá trị đặt cmd_iq (Trang 45)
Hình 4-5 Sơ đồ mô phỏng mạch vòng tốc độ - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 5 Sơ đồ mô phỏng mạch vòng tốc độ (Trang 46)
Hình 4-4 Đồ thị xung PWM1,3,5 chưa qua bộ lọc - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 4 Đồ thị xung PWM1,3,5 chưa qua bộ lọc (Trang 46)
Hình 4-9 Sơ đồ mô phỏng toàn bộ hệ thống - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 9 Sơ đồ mô phỏng toàn bộ hệ thống (Trang 48)
Hình 4-10 Đồ thị đáp ứng tốc độ động cơ - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 10 Đồ thị đáp ứng tốc độ động cơ (Trang 48)
Hình 4-12 Đồ thị dòng điện ba pha startor - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 12 Đồ thị dòng điện ba pha startor (Trang 50)
Hình 4-13 Đồ thị điện áp trên hệ toạ độ alpha_beta - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 13 Đồ thị điện áp trên hệ toạ độ alpha_beta (Trang 50)
Hình 4-15 Đồ thị momen động cơ - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 15 Đồ thị momen động cơ (Trang 51)
Hình 4-16 Đồ thị dòng điện trên hệ toạ độ alpha_beta - Đề tài  thiết kế và mô phỏng Điều khiển Động cơ pmsm bằng phương pháp Điều chế vector và thuật toán svpwm dựa trên công nghệ fpga và ngôn ngư vhdl
Hình 4 16 Đồ thị dòng điện trên hệ toạ độ alpha_beta (Trang 52)

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w