1. Trang chủ
  2. » Tất cả

Mạch dao động điều khiển bằng điện áp (vco) từ 0,1 đến 1,65 ghz trên công nghệ cmos 180 nm

8 2 0

Đang tải... (xem toàn văn)

THÔNG TIN TÀI LIỆU

TNU Journal of Science and Technology 227(16): 68 - 75 A 0.1 TO 1.65 GHz VOLTAGE CONTROLLED OSCILLATOR (VCO) IN 180 nm CMOS PROCESS Mai Thanh Hai, Nguyen Huu Tho * Le Quy Don Technical University ARTICLE INFO Received: 18/9/2022 Revised: 07/10/2022 Published: 10/10/2022 KEYWORDS Voltage Controlled Oscillator (BGR) Ring-VCO Differential Structure Wide-band Low KVCO ABSTRACT This paper presents design of voltage controlled oscillator (VCO) circuit applied to wide-band clock and data recovery circuits in highspeed serial communication systems The proposed VCO circuit achieves wide frequency band and low gain (KVCO) simultaneously by dividing the operating frequency range into triple-band by digital control bits A differential ring structure with a cross-coupled NMOS pair is used so that the VCO produces multiple output phases, has high operating frequency, and low phase noise In addition, an output buffer is realized to drive heavy load at output of the VCO as well The VCO circuit with the proposed frequency band division technique is implemented and fabricated in a 180 nm CMOS process The postlayout simulation results illustrate that VCO circuit has good phase noise performance of -93.41 to -97.60 dBc/Hz at a 1-MHz offset and has a wide tuning range of 100 MHz to 1.65 GHz (177%) The measurement results show the output waveform of the VCO in threeband with a duty-cycle of approximately 50% The VCO core circuit consumes 2.8 mW of power with a supply voltage of 1.8 V and occupies an area of 0.0546 mm2 MẠCH DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP (VCO) TỪ 0,1 ĐẾN 1,65 GHz TRÊN CÔNG NGHỆ CMOS 180 nm Mai Thanh Hải, Nguyễn Hữu Thọ* Học viện Kỹ thuật Quân THÔNG TIN BÀI BÁO Ngày nhận bài: 18/9/2022 Ngày hoàn thiện: 07/10/2022 Ngày đăng: 10/10/2022 TỪ KHÓA Mạch dao động điều khiển điện áp (VCO) VCO kiểu vòng Cấu trúc vi sai Dải rộng Hệ số khuếch đại VCO thấp TĨM TẮT Bài báo trình bày thiết kế mạch tạo dao động điều khiển điện áp (Voltage Controlled Oscillator: VCO) ứng dụng cho mạch khôi phục liệu xung đồng hồ dải rộng hệ thống thông tin nối tiếp tốc độ cao Mạch VCO đề xuất đạt đồng thời dải rộng hệ số khuếch đại (KVCO) thấp chia dải tần hoạt động VCO thành dải bit điều khiển số Một kiến trúc mạch vòng, vi sai với cặp NMOS ghép chéo sử dụng để VCO tạo nhiều pha, có tần số hoạt động cao tạp âm pha thấp Ngoài ra, đệm đầu thực để điều khiển tải nặng đầu VCO Mạch VCO với kỹ thuật chia nhỏ dải tần đề xuất thiết kế chế tạo công nghệ CMOS 180 nm Kết mơ sau layout thể mạch VCO có chất lượng tạp âm pha tốt từ -93,41 đến -97,60 dBc/Hz tần số dịch MHz từ tần số hoạt động có dải điều chỉnh tần số rộng từ 100 MHz đến 1,65 GHz (177%) Kết đo cho thấy dạng sóng đầu VCO dải có độ đầy xung (duty-cycle) xấp xỉ 50% Mạch lõi VCO tiêu thụ công suất 2,8 mW với điện áp nguồn cung cấp 1,8 V chiếm diện tích 0,0546 mm2 DOI: https://doi.org/10.34238/tnu-jst.6523 * Corresponding author Email: tho.nh@mta.edu.vn http://jst.tnu.edu.vn 68 Email: jst@tnu.edu.vn TNU Journal of Science and Technology 227(16): 68 - 75 Giới thiệu Hiện nay, thông tin liệu nối tiếp trở nên hấp dẫn so với song song nhu cầu băng thông tốc độ liệu hoạt động ngày cao Trong hệ thống thông tin liệu nối tiếp, mạch khôi phục liệu xung đồng hồ đặt đầu vào máy thu để trích xung đồng hồ liệu khôi phục từ chuỗi liệu nhận Trong đó, mạch dao động điều khiển điện áp (Voltage Controlled Oscillator: VCO) thành phần mạch quan trọng, đóng vai trị định đến chất lượng jitter mạch khôi phục liệu xung đồng hồ [1] Mạch VCO có hai cấu trúc phổ biến cấu trúc mạch vòng (Ring VCO) cấu trúc LC VCO [2] Trong cấu trúc LC VCO đạt tần số trung tâm cao chất lượng jitter tốt so với cấu trúc mạch vịng lại có khoảng điều chỉnh tần số hẹp diện tích chiếm lớn sử dụng cuộn cảm lớn chip, làm cho khó đạt tần số mong muốn, đặc biệt tần số thấp [3] Vì vậy, với ứng dụng yêu cầu dải làm việc rộng VCO cấu trúc mạch vịng trở nên hấp dẫn Hiện nay, có nhiều nghiên cứu thực kiến trúc VCO mạch vòng dải rộng, phổ biến VCO mạch vòng điều khiển dòng (Current Starved) [4], [5] Các mạch VCO điều kiển dòng đạt dải điều chỉnh tần số rộng có hệ số khuếch đại VCO (KVCO) lớn, khơng tuyến tính khơng tạo nhiều pha đầu pha cầu phương cho VCO Để khắc phục vấn đề này, nghiên cứu [6], [7] sử dụng kiến trúc VCO mạch vòng vi sai tầng Tuy nhiên mạch VCO có dải điều chỉnh tần số hẹp, từ 705 đến 927,5 MHz (27%) [6] từ 2,2 to 2,7 GHz (20,4%) [7] Các nghiên cứu [8], [9] sử dụng cấu trúc VCO mạch vòng vi sai nhiều đường [10] sử dụng cấu trúc mạch Park-Kim cải tiến để mở rộng dải tần số hoạt động VCO Dải tần số điều chỉnh [8], [9] [10] đạt 2,68 đến 3,56 GHz (28,2%), 0,36 đến 1,2 GHz (100%), 0,59 đến 1,27 GHz (73,1%) Mạch VCO [11] đạt dải điều chỉnh tần số rộng từ 0,1 đến 1,25 GHz (170%) có KVCO lớn (2,66 GHz/V) Các kiến trúc VCO mạch vòng vi sai [9] – [11] đạt dải điều chỉnh tần số tương đối rộng bị giới hạn tần số hoạt động cực đại Hơn KVCO [9] tuyến tính dải tần từ 0,42 đến GHz Để vượt qua hạn chế này, mạch VCO chia nhỏ dải tần số hoạt động đề xuất [12] Tuy nhiên, mạch VCO [12] sử dụng chia cầu phương để tạo dải tần số rộng từ 155,52 MHz đến 3,125 GHz, điều dẫn đến mạch phức tạp hơn, tiêu thụ cơng suất lớn chiếm nhiều diện tích Bài báo đề xuất mạch VCO cho mạch khôi phục liệu xung đồng hồ hệ thống thông tin nối tiếp tốc độ cao, đạt đồng thời khoảng điều chỉnh tần số rộng, liên tục có KVCO thấp Điều đạt cách sử dụng bit điều kiển số để chia tần số hoạt động VCO thành dải Ngoài kiến trúc VCO mạch vòng vi sai cặp ghép chéo NMOS sử dụng để tạo đầu nhiều pha tăng giới hạn tần số cực đại VCO Bài báo gồm có bốn phần, phần trình bày phương pháp nghiên cứu, bao gồm tổng quan mạch VCO kiểu vòng mạch VCO dải rộng đề xuất Trong tập trung vào trình bày mạch VCO dải đệm chế độ dịng đầu Kết mơ sau layout đo mạch giới thiệu phần cuối kết luận Phương pháp nghiên cứu 2.1 Tổng quan mạch VCO kiểu vòng Mạch tạo dao động kiểu vòng (Ring Oscillator: RO) chuỗi vịng lặp kín phản hồi dương số lượng chẵn lẻ (N) tầng đảo khuếch đại giống [13] Để tạo dao động ổn định mạch dao động phải thỏa mãn tiêu chuẩn Barkhausen [2], đó, hệ số khuếch đại vịng hở mạch dao động phải lớn vòng lặp cần có độ dịch pha 2π Tần số dao động xác định cách ước tính thời gian giữ trễ td tầng vòng lặp Mạch dao động trải qua 2Ntd thời gian để hồn thành chu kỳ dao động Vì vậy, tần số dao động mạch RO tính như: http://jst.tnu.edu.vn 69 Email: jst@tnu.edu.vn 227(16): 68 - 75 TNU Journal of Science and Technology f osc  Ntd (1) Các tầng giữ trễ mạch RO đầu cuối đơn vi sai [14] Mạch RO đầu cuối đơn thể Hình 1, bao gồm chuỗi cổng đảo bóng bán dẫn PMOS NMOS với số tầng phải lẻ Ngược lại, số lượng tầng giữ trễ mạch RO vi sai chẵn lẻ (Hình 2) Cả hai kiểu mạch RO thực tích hợp hồn tồn cơng nghệ CMOS N In+Out+ In+Out+ In+ Out+ In- Out- In- Out- In- Out- VDD M1 M1 M2 M2 OUT CL Vin M3 M4 Hình Kiến trúc mạch RO vi sai Hình Kiến trúc mạch RO đầu cuối đơn Mạch RO đầu cuối đơn bao gồm số lượng lẻ cổng đảo nên có cấu trúc đơn giản hơn, tiêu thụ cơng suất có diện tích chiếm nhỏ so với mạch RO vi sai Tuy nhiên, khả miễn nhiễm với tạp âm nguồn cung cấp so với phiên vi sai KVCO lớn Vì vậy, mạch RO vi sai sử dụng nhiều ứng dụng yêu cầu chất lượng cao mạch dao động, đặc biệt mạch khôi phục liệu xung đồng hồ đòi hỏi xung đồng hồ khơi phục có jitter nhỏ 2.2 Mạch VCO dải rộng đề xuất Như phần 2, mạch RO vi sai có chất lượng tạp âm pha tốt tạo nhiều pha đầu so với phiên RO đầu cuối đơn Vì vậy, báo đề xuất thực mạch VCO vi sai kiểu vòng Kiến trúc mạch VCO đề xuất thể Hình Mạch VCO gồm hai thành phần mạch lõi VCO đệm đầu Mạch lõi VCO tạo dải tần số đầu rộng với tần số thay đổi theo điện áp điều khiển (VC) bit điều khiển số D0, D1 Trong đó, D0, D1 lựa chọn ba dải tần số làm việc VCO VC thay đổi tần số hoạt động VCO dải Bộ đệm đầu thiết kế để điều khiển tải đầu cách ly mạch lõi VCO khỏi ảnh hưởng từ bên VB điện áp phân áp cho độ đệm hoạt động Để mạch lõi VCO đệm hoạt động khơng có ảnh hưởng lẫn mạch đề xuất, nguồn cho mạch thiết kế tách riêng Mạch lõi VCO BỘ ĐỆM VC D0 D1 FOUT VB Hình Kiến trúc mạch VCO đề xuất http://jst.tnu.edu.vn 70 Email: jst@tnu.edu.vn 227(16): 68 - 75 TNU Journal of Science and Technology 2.2.1 Mạch lõi VCO Tạp âm đầu VCO tỷ lệ thuận với KVCO [1] Vì vậy, để giảm thiểu tạp âm đầu mạch VCO cần có KVCO nhỏ Tuy nhiên, trả giá làm giảm khoảng điều chỉnh tần số đầu VCO Để khắc phục vấn đề này, mạch VCO nhiều dải tần đề xuất [15], [16] Tuy nhiên, nghiên cứu [15] chia mạch VCO thành dải tần số thấp, trung bình cao với phần cứng sử dụng tăng lên lần nên làm tăng diện tích chiếm cơng suất tiêu thụ mạch Nghiên cứu [16] sử dụng bit điều khiển số để lựa chọn giá trị tụ điện khác để đạt dải điều chỉnh rộng Nhưng [16] khơng có dải tần số hoạt động liên tục mà chia làm dải tách rời 1,8 đến 3,2 GHz 3,9 đến 6,3 GHz Vì vậy, báo đề xuất thiết kế mạch VCO kiểu vòng vi sai tầng (Hình 4) với mạch tầng thể Hình để đạt đồng thời dải điều chỉnh tần số rộng, liên tục, KVCO nhỏ có diện tích chiếm nhỏ Hình Mạch VCO vi sai tầng D1 M5P D0 M4P VCP M3P M3P M1P M1P OUT- IN+ M1N D1 M5N D0 M4N VCN VCP OUT+ M2N D0 M5P D1 D0 M5N D1 IN- M1N M3N M3N M4P VCN M4N Hình Chi tiết thực mạch tầng VCO vi sai tầng Mạch VCO có hai tín hiệu điều khiển VC BAND Trong đó, BAND tín hiệu điều khiển lựa chọn dải tần số cho VCO, bao gồm hai bit điều khiển số D0, D1 để chia VCO thành dải tần số VC điện áp điều khiển để thay đổi tần số VCO dải, bao gồm điện áp VCN VCP với VCP tạo phép biến đổi gương dịng điện từ VCN (VCN = VC) Các bóng bán dẫn M1P M1N tạo thành cổng đảo cho đầu vào kích thước tỷ lệ thuận với dải tần số đầu VCO Mạch VCO sử dụng kiến trúc ghép chéo với hai bóng bán dẫn NMOS (M2N) để tăng tốc độ chuyển đổi mức tín hiệu từ cao sang thấp ngược lại cho tần số đầu VCO, kích thước M2N tỷ lệ nghịch với tần số cực đại VCO Bốn bóng bán dẫn (M4N, M5N, M4P, M5P) điều khiển bit D0, D1 để chia toàn dải tần số VCO thành dải: dải tương ứng với D0 = 0, D1 = (M4N, M4P, M5N, M5P đóng); dải tương ứng với D0 = 1, D1 = (M4N, M4P mở M5N, M5P đóng); dải tương ứng với D0 = 0, D1 = (M4N, M4P đóng M5N, M5P mở) Trong đó, bóng bán dẫn PMOS điều chỉnh giới http://jst.tnu.edu.vn 71 Email: jst@tnu.edu.vn 227(16): 68 - 75 TNU Journal of Science and Technology hạn dải tần số VCO bóng bán dẫn NMOS điều chỉnh độ tuyến tính hệ số khuếch đại VCO dải Dựa phân tích từ mục tiêu thiết kế mạch VCO để đạt dải tần rộng, KVCO nhỏ độ tuyến tính cao dải, giá trị tham số thiết kế cho mạch VCO đề xuất thể Bảng Bảng Các giá trị tham số thiết kế cho mạch VCO M1N (W/L*) 2µm/180nm M1P (W/L) M2N (W/L) 1µm/180nm M3N (W/L) 5µm/180nm M3P (W/L) M4N (W/L) 4µm/180nm M4P (W/L) M5N (W/L) 8µm/180nm M5P (W/L) * W/L kích thước chiều rộng/chiều dài bóng bán dẫn 5µm/180nm 10µm/180nm 8µm/180nm 16µm/180nm 2.2.2 Bộ đệm đầu Để đưa tín hiệu từ đầu mạch lõi VCO đến chân đầu chip đệm đầu cần thiết [1] Cấu trúc đệm thực mạch chi tiết thể Hình Bộ đệm thiết kế theo kiến trúc vi sai để cực tiểu ảnh hưởng tạp âm nguồn cung cấp đảm bảo hoạt động tốc độ cao cho mạch (tần số hoạt động cao) Bên cạnh đó, đệm đầu thiết kế gồm tầng để đảm bảo khả điều khiển tải nặng đầu chống lại ảnh hưởng tụ điện ký sinh lớn chân đầu chip Điện trở tầng đệm cuối thiết kế có giá trị 50 Ω để đảm bảo khả phối hợp trở kháng cho mạch VCO Thiết kế đệm đầu phải đảm bảo trì độ đầy xung tần số đầu VCO xấp xỉ 50% Từ VCO In+ Out+ In+ Out+ In+ Out+ Out- Out- Out- In- In- In- In+ Out+ In- Out- Tới PAD chip VB VDD R R OUT IN+ M1 VB M2 IN- M3 Hình Sơ đồ khối mạch thực đệm đầu Kết mô đo Mạch VCO dải rộng đề xuất thiết kế, chế tạo công nghệ CMOS 180 nm, sử dụng phần mềm thiết kế chip chuyên dụng Cadence [17] Layout mạch lõi VCO ảnh chip thể Hình Mạch VCO chiếm diện tích (210 x 260) µm2, tiêu thụ dịng 1,55 mA 2,8 mW công suất tần số 1,65 GHz (không bao gồm đệm đầu ra) với điện áp nguồn cung cấp 1,8 V Hình thể kết mơ sau layout mạch VCO đề xuất Mạch VCO có dải tần số điều chỉnh rộng từ 100 MHz đến 1,65 GHz (177%) Hoạt động mạch VCO chia làm dải tần số: dải từ 100 MHz đến 900 MHz, dải từ 880 MHz đến 1,3 GHz, dải từ 1,28 GHz đến 1,65 GHz Trong KVCO đạt 1,6 GHz/V, 1,05 GHz/V 698 MHz/V Các dải tần số VCO có khoảng trùng lặp 20 MHz để đảm bảo hoạt động liên tục dải tần cho VCO Kết mô cho thấy, kỹ thuật chia nhỏ dải tần sử dụng bit điều khiển số, mạch VCO đề xuất đạt đồng thời khoảng điều chỉnh rộng hệ số khuếch đại nhỏ http://jst.tnu.edu.vn 72 Email: jst@tnu.edu.vn 227(16): 68 - 75 TNU Journal of Science and Technology Hình Layout ảnh chip mạch VCO đề xuất Tạp âm pha (dBc/Hz) -25 -50 -75 -93.41 -100 -97.60 -125 -150 10 10 10 10 Tần số (Hz) 10 10 Hình Kết mơ sau layout tạp âm pha mạch VCO đề xuất Hình Kết mô dải tần số mạch VCO đề xuất Hình thể đường cong tạp âm pha mô sau layout mạch VCO Mạch VCO dải rộng đề xuất có chất lượng tạp âm pha tốt, đạt giá trị từ -93,41 đến -97,60 dBc/Hz tần số dịch MHz so với tần số hoạt động Điều đạt dựa việc thiết kế cẩn thận mạch lõi VCO sử dụng kiến trúc vi sai cho mạch VCO Hình 10 thể kết đo dạng sóng đầu mạch VCO dải tần số khác nhau, 500 MHz dải 1, GHz dải 1,5 GHz dải Dạng sóng đầu VCO có độ đầy xung xấp xỉ 50% mong đợi Để đánh giá chất lượng tổng thể mạch VCO, tham số chất lượng ký hiệu FOM tính theo cơng thức (2) [15] sử dụng Trong đó, L{ f offset } tạp âm pha VCO tần số dịch f offset , f osc tần số hoạt động Pdiss công suất tiêu thụ VCO FOM mạch VCO đề xuất tần số cực đại 1,65 GHz -157,48 dBc/Hz f P FOMdB  L{ f offset } - 20log( osc ) + 10log( diss ) f offset 1mV http://jst.tnu.edu.vn 73 (2) Email: jst@tnu.edu.vn 227(16): 68 - 75 TNU Journal of Science and Technology Hình 10 Kết đo dạng sóng VCO tần số dải Bảng tổng kết so sánh chất lượng mạch VCO đề xuất với nghiên cứu trước Mạch VCO đề xuất đạt khoảng điều chỉnh tần số rộng (177%), có KVCO nhỏ so với mạch VCO sử dụng giải pháp chia nhỏ dải tần [15] Đồng thời, mạch VCO có cơng suất tiêu thụ thấp diện tích chiếm nhỏ với chất lượng tạp âm pha FOM so sánh với nghiên cứu khác Hạn chế kết nghiên cứu bị giới hạn kết đo mạch VCO thiết kế, chế tạo nằm mạch khôi phục liệu xung đồng hồ Bảng Tổng kết so sánh chất lượng mạch VCO Công nghệ (nm) Nguồn cấp (V) Kiến trúc Khoảng tần số (GHz) KVCO, max PN@1MHz (dBc/Hz) FOM@1MHz (dBc/Hz) Công suất tiêu thụ (mW) Diện tích lõi VCO [7] 180 1,8 RO 2,2-2,7 (20,4%) N/A -92,83 -150,7 10,1 N/A [10] 180 1,8 RO 0,59-1,27 (73,1%) N/A -109 -153,8 14,4 0,059 mm2 [15] 65 RO 2,4-11 (128%) 6,3 GHz/V -90,08 -157,34 20 N/A Đề xuất 180 1,8 RO 0,1-1,65 (177%) 1,6 GHz/V -97,6 -157,48 2,8 0,0546 mm2 Kết luận Bài báo trình bày thiết kế mạch VCO có dải điều chỉnh tần số rộng ứng dụng cho mạch khôi phục liệu xung đồng hồ hệ thống thông tin liệu nối tiếp Kỹ thuật chia nhỏ dải tần số VCO bit điều khiển số đề xuất Cùng với đó, thiết kế đệm đầu chế độ dòng giới thiệu Mạch VCO đề xuất đạt đồng thời dải tần số hoạt động rộng hệ số khuếch đại nhỏ Đồng thời mạch VCO có chất lượng tạp âm pha tốt, cơng suất tiêu thụ thấp diện tích chiếm nhỏ Hướng phát triển nghiên cứu tiếp tục cải thiện chất lượng tạp âm pha mạch VCO kiến trúc vòng, thiết kế mạch VCO công nghệ để đạt dải tần số rộng cao http://jst.tnu.edu.vn 74 Email: jst@tnu.edu.vn TNU Journal of Science and Technology 227(16): 68 - 75 TÀI LIỆU THAM KHẢO/ REFERENCES [1] B Razavi, Design of Integrated Circuits for Optical Communication Systems John Wiley & Sons, Hoboken, New Jersey, 2012 [2] B Razavi and Behzad, Design of Analog CMOS Integrated Circuits McGraw-Hill, New York, 2017 [3] S Salem, M Tajabadi, and M Saneei, “The Design and Analysis of Dual Control Voltages Delay Cell for Low Power and Wide Tuning Range Ring Oscillators in 65nm CMOS Technology for CDR Applications,” J Electronics and Communications (AEÜ), vol 82, pp 406-412, Dec 2017 [4] K Peepra and R C Gurjar, “A Linear Current Starved Voltage Controlled Ring Oscillator With Wide Tuning Range Using 180nm CMOS Technology,” in International Conference on Recent Innovations in Electrical, Electronics & Communication Engineering - (ICRIEECE), India, Feb 2020, doi: 10.1109/ICRIEECE44171.2018.9008640 [5] S Suman, K G Sharma, and P K Ghosh, “Analysis and Design of Current Starved Ring VCO,” in International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT), India, Nov 2016, doi: 10.1109/ICEEOT.2016.7755299 [6] W C Lai, “Chip Design of a High Output Quadrature Phase Ring Voltage Controlled Oscillator with Noise Reduction for Communication Applications,” in 3rd IEEE International Conference on Knowledge Innovation and Invention, Taiwan, Jan 2021, doi: 10.1109/ICKII50300.2020.9318777 [7] X Gui and M M Green, “Design of CML Ring Oscillators with Low Supply Sensitivity,” IEEE Trans Circuits Syst I, Reg Papers, vol 60, no 7, pp 1753–1763, Jul 2013 [8] I Sun, J Yin, P Mak, and R P Martins, “A Comparative Study of 8-Phase Feedforward-Coupling Ring VCOs,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol 66, no 4, pp 527531, April, 2019 [9] D Ito, T Tanaka, M Nakamura, and K Kishine, “A wideband differential VCO based on doubleshort-path loop architecture,” in International SoC Design Conference (ISOCC), Korea, April, 2020, doi: 10.1109/ISOCC47750.2019.9078464 [10] N Ghaderi, M Zhang, D Yu, and L Lorenzelli, “A New Low Power Ring Voltage-Controlled Oscillator with a Wide Tuning Range,” in International Electrical Engineering Congress (iEECON2021), Thailand, March, 2021, doi: 10.1109/iEECON51072.2021.9440360 [11] H T Nguyen, M H Pham, T L Le, T T Le, and T Q Nguyen, “Design wide-band reference-less continuous-rate Clock and Data recovery circuit using 180 nm CMOS process,” Journal of Military Science and Technology, vol 63, pp 46-58, Oct 2019 [12] R Yang, K Chao, S Hwu, C Liang, and S Liu, “A 155.52 Mbps-3.125 Gbps Continuous-Rate Clock-and-Data-Recovery Circuit,” IEEE J Solid-State Circuits, vol 41, no 6, pp 1380-1390, Jun 2006 [13] J Jalil, M B I Reaz, and M A M Ali, “CMOS Differential Ring Oscillators: Review of the Performance of CMOS ROs in Communication Systems,” IEEE Microwave Magazine, vol 14, no 5, pp 97-109, 2013 [14] A A Abidi, “Phase noise and jitter in CMOS ring oscillators,” IEEE J Solid-State Circuits, vol 41, no 8, pp 1803-1816, July, 2006 [15] D Samaras and F Yu, “High performance, wide tuning range 65nm CMOS tunable Voltage Controlled Ring Oscillator up to 11 GHz,” in 9th International Conference on Modern Circuits and Systems Technologies (MOCAST), Germany, Sep 2020, doi: 10.1109/MOCAST49295.2020.9200291 [16] J S Gaggatur, “A 1.8 - 6.3 GHz Quadrature Ring VCO-based Fast-settling PLL for Wireline I/O in 55nm CMOS,” in 34th International Conference on VLSI Design (VLSID), India, April, 2021, doi: 10.1109/VLSID51830.2021.00055 [17] A Martin, Cadence Design Environment, New Mexico State University, Oct 2002 http://jst.tnu.edu.vn 75 Email: jst@tnu.edu.vn ... 1,65 GHz (177%) Hoạt động mạch VCO chia làm dải tần số: dải từ 100 MHz đến 900 MHz, dải từ 880 MHz đến 1,3 GHz, dải từ 1,28 GHz đến 1,65 GHz Trong KVCO đạt 1,6 GHz/ V, 1,05 GHz/ V 698 MHz/V Các dải... số 1,65 GHz (không bao gồm đệm đầu ra) với điện áp nguồn cung cấp 1,8 V Hình thể kết mô sau layout mạch VCO đề xuất Mạch VCO có dải tần số điều chỉnh rộng từ 100 MHz đến 1,65 GHz (177%) Hoạt động. .. đổi tần số hoạt động VCO dải Bộ đệm đầu thiết kế để điều khiển tải đầu cách ly mạch lõi VCO khỏi ảnh hưởng từ bên VB điện áp phân áp cho độ đệm hoạt động Để mạch lõi VCO đệm hoạt động khơng có

Ngày đăng: 23/03/2023, 22:19

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w