Công nghệ FPGA, ASIC

6 252 5
Công nghệ FPGA, ASIC

Đang tải... (xem toàn văn)

Thông tin tài liệu

FPGA, ASIC

9/5/2011 1 Phần II: Field Programmable Gate Array Application Specific Integrated Circuit • Tổng quan (1) • Kiến trúc (2) • Qui trình thiết kế FPGA, ASIC (1) • Giới thiệu công cụ thiết kế và triển khai (4) 1/4 1980s, VLSI xuất hiện  thiết kế IC theo nhu cầu. Độ phức tạp tăng 40% mỗi năm. Nhu cầu thiết kế tăng 15% mỗi năm Mức ñộ tích hợp của PCB trên mỗi die 2/4 Silicon, 2010 29email ktmt@soict.hut.edu.vn Mật ñộ (Gb/cm 2 ) Tốc ñộ truy xuất (ns) DRAM 8.5 10 DRAM (logic) 2.5 10 SRAM (cache) 0.3 1.5 Mật ñộ (Mgate/cm 2 ) Năng lượng (W/cm 2 ) Xung ñồng hồ (GHz) Custom 25 54 3 Std. Cell 10 27 1.5 Gate 5 18 1 Single-Mask GA 2.5 12.5 0.7 FPGA 0.3 4.5 0.25 Die Area: 2.5x2.5 cm Voltage: 0.6 V Technology:0.07 µm 3/4 30email ktmt@soict.hut.edu.vn Intel 4004 (1971) - thiết kế thủ công 9/5/2011 2 4/4 ASIC ra ñời so nhu cầu về các hoạt ñộng thiết kế ngày càng tăng. Hội nghị các vi mạch tùy biến theo khách hàng của IEEE ñưa ra các tài liệu hướng dẫn phát triển custom IC. Thiết kế = IC chuẩn + custom IC Từ custom IC  thuật ngữ Application Specific IC 31email ktmt@soict.hut.edu.vn 1/3 ASIC không ñồng nhất với custom IC. IC chuẩn: ROM, RAM, DRAM, Processor, etc. ASIC: chip cho ñồ chơi biết nói, chip cho 1 vệ tinh, chip cho bộ xử lý dưới dạng cell cùng với mạch logic. Qui tắc phổ biến: “Nếu một IC xuất hiện trong sách tra cứu, thì ñó không phải là ASIC”. ASIC, IC chuyên dụng. Application Specific Standard Product. 1/2 ASIC ñem lại cơ hội sản xuất với số lượng lớn; các bộ phận ñược tiêu chuẩn hóa ñể nhanh chóng trở thành sản phẩm thương mại. Giá thành giảm theo số lượng. None Reducing Cost. Quy trình Cost Down trong các nhà máy. Hiệu quả kinh tế trong thiết kế Thực hiện prototype nhanh với số lượng thấp. Thiết kế theo nhu cầu, chuyên sâu, số lượng lớn. 33email ktmt@soict.hut.edu.vn 2/2 Có công cụ CAD cần thiết ñể ñạt ñược hiệu quả trong chiến lược thiết kế: Thiết kế mức hệ thống: VHDL Thiết kế mức vật lý, từ VHDL tới silicon, timing closure (Monterey, Magma, Synopsys, Cadence, Avant!) Chiến lược thiết kế: Hierarchy - phân cấp; Regularity; Modularity - mô ñun; Locality. 34email ktmt@soict.hut.edu.vn 9/5/2011 3 Thiết kế là một quá trình liên tục cân ñối các tham số ñầu vào, ñể ñạt ñược hiệu quả mong ñợi. 35email ktmt@soict.hut.edu.vn • chức năng, thời gian, tốc ñộ, năng lượng Hiệu năngHiệu năng • chi phí sản xuất Kích thước dieKích thước die • lập lịch và chi phí nghiên cứu Thời gian thiết kếThời gian thiết kế • lập lịch, chi phí nguồn lực, chi phí sản xuất Test và Stability TestTest và Stability Test 36email ktmt@soict.hut.edu.vn Ý tưởng thiết kế Đặc tả thiết kế Thiết kế hệ thống HDL Giả lập Thiết kế mức cổng Tổng hợp Giả lập Thư viện cell Layout Kiểm tra Layout Sản xuất Kiểm thử chip Sản phẩm c := a + b;c := a + b; if (c == 1) then if (c == 1) then cfcf := 1;:= 1; SimulinkSimulink SynopsysSynopsys CadenceCadence NovelusNovelus 37email ktmt@soict.hut.edu.vn Mức thiết bị Mức mạch Mức cổng Mức mô-ñun chức năng Mức hệ thống 1/2 38email ktmt@soict.hut.edu.vn Full Custom IC • Thiết kế rất phức tạp, hàng trăm man- year • ~ 25M gate • Phải sản xuất với số lượng cực lớn mới Cell Base IC • Các cell là Flip- Flop, gate, hoặc bộ xử lý ñã ñược ñịnh nghĩa trước, ñược tái sử dụng lại, • Sản xuất với số lượng 100k sản phẩm/ năm Programable Logic Device • Dựa trên công nghệ ROM/PROM, cấu tạo chỉ gồm các lớp cổng AND, OR và một số Flip Flop. • Sản phẩm khá ñơn giản với khoảng 1K gate. • Sản xuất ñơn lẻ ñược Gate Array • Các trans tạo sẵn thảnh mảng, và nhà thiết kế thực hiện việc tạo các liên kết nối giữa chúng bằng cách sử dụng các thư viện cell và CAD. • Sản xuất ñơn lẻ ñược. FPGAFPGACPLDCPLD 9/5/2011 4 2/2 39email ktmt@soict.hut.edu.vn FPGA, PLD, CPLD ñược xếp vào nhóm các IC lập trình ñược bởi người dùng 1/4 40email ktmt@soict.hut.edu.vn Phát sinh thứ 1: Một khi chip ASIC càng mạnh thì thiết kế càng tinh vi, phức tạp, càng tiềm tàng những sai sót lớn. Các phần mềm hỗ trợ, giả lập chưa thực sự phản ánh hết ñược hoạt ñộng thực tế của hệ thống. Để kiểm tra thiết kế, người kĩ sư buộc phải ñặt các nhà sản xuất sản xuất chip ñơn lẻ và kiểm tra trên các ứng dụng, môi trường thực  tốn thời gian, và tiền bạc.  cần hệ nền kiểm thử phần cứng nhanh chóng. 2/4 41email ktmt@soict.hut.edu.vn Năm 1984, Ross Freeman, Bernard Vonderschmitt, ñồng sáng lập công ty Xillinx. Năm 1985, Xilinx ñưa ra dòng FPGA thương mại ñầu tiên, XC2064. Năm 2006, Freeman ñược vinh danh tại National Inventors Hall of Fame vì sáng chế này. FPGA dựa trên các công nghệ nền tảng như PROM và PLD, nhưng với kiến trúc mới hiệu quả hơn. Các thiết kế IC ñược thử nghiệm prototype trên các chip FPGA ngay lập tức  tiết kiệm thời gian và tiền bạc. 3/4 42email ktmt@soict.hut.edu.vn Phát sinh thứ 2: Các nhà sản xuất lớn chỉ cung cấp các chip thông dụng trên thị trường, với số lượng lớn.  bỏ qua nhu cầu về các IC chuyên dụng có số lượng thấp, nhưng tổng nhu cầu thì rất lớn. Phát sinh thứ 3: Ban ñầu, các chip lập trình ñược có giá thành khá cao và tốc ñộ chậm, chỉ sử dụng trong các phòng thí nghiệm. Khi công nghệ sản xuất phát triển vượt bậc, thì các chip lập trình ñược ngày càng mạnh và rẻ  ứng dụng ñại trà. 9/5/2011 5 4/4 43email ktmt@soict.hut.edu.vn Hệ quả: Chân trời mới FPGA không chỉ là chip prototype trong các phòng thí nghiệm, mà thực sự trở thành sản phẩm thường mại ñại chúng. Thị trường phần cứng ñược cung cấp một dòng sản phẩm có thể thiết kế và sử dụng ñược ngay. Các công ty vừa nhỏ, các kỹ sư hoạt ñộng ñộc lập, không phải lệ thuộc vào các IC của các nhà sản xuất lớn  tự do sáng tạo. vsvs 44email ktmt@soict.hut.edu.vn CustomICCustomIC FPGAFPGA Sản xuất nhỏ Tối ưu tính năng Chi phí chế tạo thấp Sản xuất lớn Tối ưu tốc ñộ, năng lượng… Chi phí chế tạo lớn 1/2 45email ktmt@soict.hut.edu.vn Flexibility & Expandability 31% Best Performance (thoughput speed) 15% Lowest Cost 17% Lowest Power 3% Complete Solution 18% Quality, Reliability 9% Easiest to use 4% Other 3% Nguyên nhân sử dụng chip ASIC prototyping 1/2 46email ktmt@soict.hut.edu.vn Hard IP Hard IP Soft IP Soft IP Others EDA Tools System On Chip IP-based Platform-based EDA Integrator Application specific integration platform EDA Tools Derivative Hard IP Others Soft IP EDA Tools 9/5/2011 6 47email ktmt@soict.hut.edu.vn Intel Core i7 die RCC, Reconfigurable Computing. Ví dụ: RAM, ROM, PLA, PAL. FPGA với tính chất lập trình ñược cao, ưu việt, là ñại diện của RCC. 48email ktmt@soict.hut.edu.vn Input: Địa chỉ Output: Giá trị Giá trị = f(Địa chỉ) y = f(x) . Specific Integrated Circuit • Tổng quan (1) • Kiến trúc (2) • Qui trình thiết kế FPGA, ASIC (1) • Giới thiệu công cụ thiết kế và triển khai (4) 1/4 1980s, VLSI xuất hiện  thiết kế IC theo nhu. “Nếu một IC xuất hiện trong sách tra cứu, thì ñó không phải là ASIC . ASIC, IC chuyên dụng. Application Specific Standard Product. 1/2 ASIC ñem lại cơ hội sản xuất với số lượng lớn; các bộ phận. lập công ty Xillinx. Năm 1985, Xilinx ñưa ra dòng FPGA thương mại ñầu tiên, XC2064. Năm 2006, Freeman ñược vinh danh tại National Inventors Hall of Fame vì sáng chế này. FPGA dựa trên các công nghệ

Ngày đăng: 06/04/2014, 00:36

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan