BÁO cáo kết QUẢ THÍ NGHIỆM môn kỹ THUẬT số bài THÍ NGHIỆM 1 các CỔNG LOGIC và IC CHỨC NĂNG cơ bản

89 7 0
BÁO cáo kết QUẢ THÍ NGHIỆM môn kỹ THUẬT số bài THÍ NGHIỆM 1 các CỔNG LOGIC và IC CHỨC NĂNG cơ bản

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

ĐẠI HỌC QUỐC GIA TP HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA TP HỒ CHÍ MINH  BÁO CÁO KẾT QUẢ THÍ NGHIỆM MƠN KỸ THUẬT SỐ GVHD : NGUYỄN HỒNG MINH TUẤN NHĨM : 09 HỌC KÌ : 221 DANH SÁCH THÀNH VIÊN: STT Thành phố Hồ Chí Minh - 2022 MỤC LỤC BÀI THÍ NGHIỆM 1: CÁC CỔNG LOGIC VÀ IC CHỨC NĂNG CƠ BẢN I MỤC TIÊU……………………………………………………………………………………………….2 AI CHUẨN BỊ………………………………………………………………………………………………2 III HƯỚNG DẪN THÍ NGHIỆM……………………………………………………………………… BÀI THÍ NGHIỆM 2: THỰC HIỆN CÁC IC CHỨC NĂNG TRÊN FPGA…………………………….13 I MỤC TIÊU…………………………………………………………………………………………… 13 II CHUẨN BỊ…………………………………………………………………………………………… 13 III HƯỚNG DẪN THÍ NGHIỆM……………………………………………………………………….13 BÀI THÍ NGHIỆM 3: THIẾT KẾ HỆ TỔ HỢP THIẾT KẾ HỆ TUẦN TỰ 41 I MỤC TIÊU…………………………………………………………………………………………… 41 II CHUẨN BỊ…………………………………………………………………………………………… 41 III HƯỚNG DẪN THÍ NGHIỆM……………………………………………………………………….41 BÀI THÍ NGHIỆM 4: THỰC HIỆN HỆ TỔ HỢP VÀ HỆ TUẦN TỰ CƠ BẢN TRÊN FPGA……… 55 I MỤC TIÊU…………………………………………………………………………………………… 55 II CHUẨN BỊ…………………………………………………………………………………………… 55 III HƯỚNG DẪN THÍ NGHIỆM……………………………………………………………………….55 BÀI THÍ NGHIỆM 1: CÁC CỔNG LOGIC VÀ IC CHỨC NĂNG CƠ BẢN I MỤC TIÊU Nắm cách sử dụng kit thí nghiệm, phần mềm lập trình Nắm cách khảo sát thiết kế hàm boolean sử dụng cổng logic Nắm quy trình mơ tả phần cứng FPGA AI CHUẨN BỊ Tự hoàn thành prelab1 trước tham gia buổi học thí nghiệm Khơng hồn thành prelab1 khơng tham gia buổi thí nghiệm, hình thức chép bị xử lý nặng III HƯỚNG DẪN THÍ NGHIỆM THÍ NGHIỆM Mục tiêu: Nắm cách khảo sát hàm boolean sử dụng cổng logic Yêu cầu: Sinh viên thực khảo sát hoạt động hàm fx,y,z=x.y+yz điền kết khảo sát vào Bảng theo hướng dẫn mục Kiểm tra x 0 0 1 1 Bảng 1: Kết khảo sát hoạt động hàm boolean Kiểm tra: Sinh viên tiến hành thay giá trị x, y, z vào hàm fx,y,z=x.y+yz cho, điền kết vào cột f Bảng Sinh viên tiến hành vẽ sơ đồ nguyên lý mạch cần thiết kế Ghi rõ chân IC Sinh viên tiến hành lắp mạch khảo sát hoạt động hàm, ghi kết vào cột fTest Bảng (Chèn hình chụp minh chứng sinh viên lắp xong mạch xác nhận GVHD) Thực hàm boolean sử dụng cổng NAND ngõ vào, cần sử dụng cổng NAND? Tại sao? Sinh viên tiến hành vẽ sơ đồ nguyên lý mạch cần thiết kế (toàn NAND) Sinh viên tiến hành lắp lại mạch thực với hàm sử dụng cổng NAND Kết kiểm tra điền vào cột fnand Bảng (Chèn hình chụp minh chứng sinh viên lắp xong mạch xác nhận GVHD) THÍ NGHIỆM Mục tiêu: Nắm cách khảo sát hàm boolean sử dụng cổng logic Yêu cầu: Sinh viên thực khảo sát hoạt động hàm cho Bảng điền kết khảo sát vào Bảng theo hướng dẫn mục Kiểm tra x 0 0 Bảng 2: Kết khảo sát hoạt động hàm boolean Kiểm tra: Viết biểu thức ngõ f theo ngõ vào x, y, z (x * y * ! z) + (! x * z) Sinh viên tiến hành vẽ sơ đồ nguyên lý mạch cần thiết kế Ghi rõ chân IC Sinh viên tiến hành lắp mạch khảo sát hoạt động hàm, ghi kết vào cột fTest Bảng (Chèn hình chụp minh chứng sinh viên lắp xong mạch xác nhận GVHD) Thực hàm boolean sử dụng cổng NOR ngõ vào, cần sử dụng cổng NOR? Tại sao? Sinh viên tiến hành vẽ sơ đồ nguyên lý mạch cần thiết kế (toàn NOR) a Sinh viên tiến hành lắp lại mạch thực với hàm sử dụng cổng NOR Kết kiểm tra điền vào cột fnor Bảng (Chèn hình chụp minh chứng sinh viên lắp xong mạch xác nhận GVHD) THÍ NGHIỆM Mục tiêu: Nắm cách khảo sát hàm boolean sử dụng cổng logic Yêu cầu: Sinh viên thực khảo sát hoạt động hàm cho Hình điền kết khảo sát vào Bảng theo hướng dẫn mục Kiểm tra Hình 3: Hàm boolean thí nghiệm x Bảng 3: Kết khảo sát hoạt động Kiểm tra: Sinh viên tiến hành lắp mạch khảo sát hoạt động hàm, ghi kết vào cột F1 Bảng Sinh viên tiến hành vẽ sơ đồ nguyên lý mạch cần thiết kế Ghi rõ chân IC Chèn hình chụp minh chứng sinh viên lắp xong mạch xác nhận GVHD: Sinh viên tiến hành rút gọn hàm cho Hình vẽ lại mạch logic mô tả hàm boolean cho Sinh viên tiến hành lắp mạch khảo sát hoạt động hàm, ghi kết Bảng cột F2 Rút gọn hàm: Sinh viên tiến hành vẽ sơ đồ nguyên lý mạch cần thiết kế Cần dùng IC nào, ghi rõ Chèn hình chụp minh chứng sinh viên lắp xong mạch xác nhận GVHD: Từ kết thí nghiệm, sinh viên rút nhận xét THÍ NGHIỆM Mục tiêu: Nắm cách thức sử dụng IC chức để thực hàm boolean Yêu cầu: Sinh viên thực thiết kế hàm boolean fx,y,z= 2,3,5,7 sử dụng IC chức 74LS151 cổng logic cần thiết Kết khảo sát điền vào Bảng theo hướng dẫn mục Kiểm tra x 0 0 1 1 Bảng 4: Kết khảo sát hoạt động hàm boolean Kiểm tra: Sinh viên sử dụng IC 74LS151 cổng logic cần thiết để thiết kế mạch thực hàm boolean cho Vẽ sơ đồ nguyên lý mạch thiết kế Lắp mạch thực tế khảo sát mạch, điền kết vào cột fTest bảng Yêu cầu: Sinh viên thực thiết kế mô tả mạch đếm lên bit từ đến 14 sử dụng ngôn ngữ system verilog Giá trị đếm thay đổi sau 1s Ngõ bit kết nối với LED đoạn loại anode chung Ngồi ra, đếm cịn có chân RST (tích cực cao) dùng để reset trạng thái đếm Gợi ý: Tín hiệu clock tạo từ chia tần số từ 50MHz sang 1s Sinh viên sử dụng chương trình chuyển từ mã BCD sang LED đoạn PRELAB, kết nối ngõ đếm với ngõ vào chuyển đổi Sinh viên cần viết thêm chuyển đổi từ số bit sang số BCD Kiểm tra: Sinh viên trình bày ý tưởng thiết kế (Sinh viên vẽ sơ đồ khối và/hoặc diễn giải để giáo viên hiểu ý tưởng mình) Cách làm tương tự thí nghiệm thay đổi số dòng code đếm từ đếm xuống thành đếm lên Chương trình mô tả hoạt động thiết kế 73 module LAB4_TN4( input clk, input rst, output reg [6:0] seg_0, output reg [6:0] seg_1); integer i = 0; logic out; logic[3:0] bcd; logic clock; always_ff@(posedge clk) begin i = i + 1; if (i == 25000000) begin clock = ~ clock; i = 0; end end always@(posedge clock or posedge rst) begin if (rst == 1) begin bcd

Ngày đăng: 29/12/2022, 04:04

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan