(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812

127 1 0
(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812(Luận văn thạc sĩ) Điều khiển động cơ không đồng bộ 3 pha bằng phương pháp FOC trên cơ sở DSP TMS320F2812

Lời cam đoan LVTN LỜI CAM ĐOAN Tôi cam đoan cơng trình nghiên cứu tơi Các số liệu, kết nêu luận văn trung thực chưa công bố cơng trình khác Tp Hồ Chí Minh, ngày 15 tháng 08 năm 2012 Người cam đoan Trương Minh Triệu GVHD: PGS TS Lê Minh Phương Trang ii HVTH: Trương Minh Triệu Lời cảm ơn LVTN LỜI CẢM ƠN Tôi xin chân thành cảm ơn thầy PGS.TS Lê Minh Phương tận tình hướng dẫn tơi hồn thành luận văn Chân thành cảm ơn q thầy Trường Đại học Sư Phạm Kỹ Thuật TP.HCM Trường Đại Học Bách khoa TP.HCM giảng dạy suốt hai năm học Xin cám ơn em Đặng Thanh Lưu, anh em phịng thí nghiệm điện tử cơng suất, trường đại học Bách khoa TP HCM giúp đỡ, chia sẻ kinh nghiệm, động viên suốt thời gian làm việc phòng Và cuối cùng, xin chân thành cảm ơn gia đình đặc biệt người yêu Nguyễn Thị Kim Thoa động viên suốt trình học tập GVHD: PGS TS Lê Minh Phương Trang iii HVTH: Trương Minh Triệu Tóm tắt LVTN TĨM TẮT Đợng khơng đờng bợ ba pha là thiết bị chủ lực truyền động điện xoay chiề u có nhiề u ưu ểm Tuy nhiên, việc điều khiển động không đồng bộ một vấn đề khó khăn, phức tạp với tính chất phi tuyến của Có ý tưởng đưa là làm để điều khiển động không đồng bộ pha giống việc điều khiển của động mợt chiều Đó là phương pháp điề u khiể n đinh ̣ hướng trường (Field Oriented Control - FOC), Phương pháp này có khả điề u khiể n đô ̣c lâ ̣p từ thông và moment Để thực hiện phương pháp này góc từ thơng rotor  r phải biết Góc  r tìm cách, trực tiếp (gọi là điều khiển trường trực tiếp - DFOC) và gián tiếp (gọi là điều khiển trường gián tiếp - IFOC) Trong phương pháp trực tiếp góc  r tìm thơng qua dịng điện và điện áp stator hay khe hở không khí Với phương pháp gián tiếp góc  r tính góc trượt góc của rotor Trong luận văn này học viên sử dụng phương pháp gián tiếp GVHD: PGS TS Lê Minh Phương Trang iv HVTH: Trương Minh Triệu LVTN Abstract ABSTRACT Three-phase asynchronous motors are main equipment in AC Drives because it have many advantages However, it is difficult to control them because of their nonlinear characterisics An idea have been given how to control three-phase asynchronous motors like direct current motors control This is Field Oriented Control (FOC) method, this method can decoupled control of torque and flux To accomplish this, the flux rotor angle  r must be known precisely There are two ways to calculate angle  r by direct (call Direct Field Oriented Control DFOC) and by indirect (call Indirect Field Oriented Control - IFOC) In direct, it can be found based on the stator or air-gap In indirect, it can be calculated by the slip angle and the rotor angle In this dissertatio, I used indirect method GVHD: PGS TS Lê Minh Phương Trang v HVTH: Trương Minh Triệu Mục lục LVTN MỤC LỤC Trang tựa Trang Quyết định giao đề tài Lý lịch cá nhân .i Lời cam đoan ii Cảm tạ iii Tóm tắt iv Mục lục vi Danh sách chữ viết tắt x Danh sách hình vii Danh sách bảng viii Chương Tổng quan 01 1.1 Đặt vấn đề 01 1.2 Định hướng đề tài 03 1.3 Nhiệm vụ luận văn 03 1.4 Kết mong muốn đạt 03 Chương 2: Cở sở lý thuyết 04 Chương 3: Động không đồng pha phương pháp điều khiển 05 3.1 Tổng quan động KĐB pha 05 3.1.1 Cấu tạo 05 3.1.1.1 Stator 05 3.1.1.2 Rotor 06 3.1.1.3 Khe hở khơng khí 08 3.1.2 Nguyên lý hoạt động động KĐB pha 08 3.1.3 Ứng dụng động KĐB khả thay động DC 10 3.2 Vector không gian đại lượng pha 12 3.2.1 Hệ trục tọa độ tĩnh  13 3.2.1.1 Đổi tọa độ từ u, v, w   .13 3.2.1.2 Đổi tọa độ từ   u, v, w 14 VHD: PGS TS Lê Minh Phương Trang vi HVTH: Trương Minh Triệu Mục lục LVTN 3.2.2 Hệ tọa độ quay dq 14 3.2.2.1 Đổi tọa độ từ   dq 15 3.2.2.2 Đởi tọa độ từ dq   15 3.3 Mơ hình động KĐB pha 15 3.3.1 Hệ phương trình động 16 3.3.2 Mơ hình trạng thái động hệ tọa độ stator (hệ  ) 18 3.3.3 Mơ hình trạng thái động hệ tọa độ từ thông rotor (hệ dq) 19 3.3.4 Ưu điểm việc mô tả động KĐB pha hệ tọa độ từ thông rotor 21 3.4 Các phương pháp điều khiển tốc độ động KĐB 21 3.4.1 Điều khiển tốc độ cách thay đổi số đôi cực 22 3.4.2 Điều khiển tốc độ cách thay đổi điện áp stator 22 3.4.3 Điều khiển tốc độ cách thay đổi tần số nguồn áp 24 3.4.3.1 Nguyên lý điều khiển từ thông không đổi 24 3.4.3.2 Trường hợp tốc độ động thấp 26 3.4.3.3 Trường hợp tốc độ lớn tốc độ định mức 26 3.4.3.4 Đặc tính 26 3.4.4 Điều khiển vector 27 3.4.4.1 Phương pháp điều khiển trực tiếp moment – DTC 27 3.4.4.2 Phương pháp điều khiển định hướng tựa trường – FOC 28 3.5 Bộ nghịch lưu pha vector không gian 32 3.5.1 Bộ nghịch lưu pha 32 3.5.2 Vector không gian 33 3.5.3 Cách điều chế vector không gian 34 3.5.4 Giản đồ đóng ngắt khóa 36 Chương 4: Tổng quan Digital Signal Processing 38 4.1 Giới thiệu DSP TMS320F2812 38 4.2 Các đặc tính kỹ thuật 38 4.3 Chức khối 39 4.3.1 Chức xuất nhập 41 4.3.1.1 Thanh ghi GPxMUX 42 4.3.1.2 Thanh ghi GPxDIR 42 VHD: PGS TS Lê Minh Phương Trang vii HVTH: Trương Minh Triệu Mục lục LVTN 4.3.1.3 Thanh ghi GPxDAT 42 4.3.2 Bộ quản lý kiện 42 4.3.2.1 Bộ định thời chung General Purpose Timer – GP Timer 44 4.3.2.2 Điều rộng xung TxPWM 44 4.3.2.3 Điều rộng xung PWM 47 4.3.2.4 Bộ đếm xung encoder – QEP 49 4.3.2.5 Bộ chuyển đổi tín hiệu tương tự sang số - ADC 50 4.3.3 Bộ ngắt ngoại vi mở rộng – PIE 54 4.4 Chức chân chip F2812 56 4.5 KIT eZdspTM F2812 67 4.5.1 Đặt tính kỹ thuật 68 4.5.2 Các Port Kit 69 4.5.3 Vị trí chức chân Port 70 4.5.3.1 Port 70 4.5.3.2 Port 70 4.5.3.3 Port 4/7/8 71 4.5.3.4 Port 5/9 73 4.5.4 Vị trí chức Jumper 74 4.5.5 Led hiển thị 75 4.6 Các chân dùng luận văn 75 Chương 5: Giải thuật lập trình 76 5.1 Sơ đồ khối phần cứng 76 5.2 Lưu đồ giải thuật cho chương trình 76 5.2.1 Giải thuật đọc ADC 78 5.2.2 Giải thuật đọc Encoder 78 5.2.3 Giải thuật cho khối PI 79 5.2.4 Giải thuật tính góc từ thơng rotor  r 79 5.2.5 Giải thuật cho khối tính Sine  r , Cos  r 80 5.2.6 Giải thuật cho chuyển trục 80 5.2.6.1 Chuyển trục từ abc   80 5.2.6.2 Chuyển trục từ   dq 81 VHD: PGS TS Lê Minh Phương Trang viii HVTH: Trương Minh Triệu Mục lục LVTN 5.2.6.3 Chuyển trục từ dq   81 5.2.7 Giải thuật khối Space vector 82 Chương 6: Thiết kế phần cứng mơ hình 86 6.1 Thiết kế phần cứng 86 6.1.1 Thông số động 86 6.1.2 Sơ đồ kết nối mạch phần cứng 87 6.2 Mạch thiết kế thực tế 87 6.2.1 Mạch công suất 87 6.2.1.1 Mạch nghịch lưu pha 87 6.2.1.2 Sơ đồ nguyên lý 89 6.2.1.3 Mạch thi công 89 6.2.2 Mạch nguồn DC 89 6.2.2.1 Nguyên lý hoạt động 89 6.2.2.2 Sơ đồ nguyên lý 90 6.2.2.3 Mạch thi công 90 6.2.3 Mạch lái + Deadtime 91 6.2.3.1 IC HCPL 3120 91 6.2.3.2 Sơ đồ nguyên lý mạch dead time 91 6.2.3.3 Sơ đồ nguyên lý mạch lái 92 6.2.3.4 Mạch thi công 92 6.2.4 Mạch cảm biến dòng 93 6.2.4.1 Cảm biến dòng LA 25-NP 93 6.2.4.2 Sơ đồ nguyên lý 93 6.2.3.5 Mạch thi công 93 6.2.5 Mạch xử lý tín hiệu cảm biến biến dòng 93 6.2.5.1 Sơ đồ nguyên lý 94 6.2.5.2 Mạch thi công 94 6.2.6 Mạch xử lý tín hiệu encoder 94 6.2.6.1 Sơ đồ nguyên lý 95 6.2.6.2 Mạch thi công 95 6.2.7 Mạch nguồn 95 VHD: PGS TS Lê Minh Phương Trang ix HVTH: Trương Minh Triệu Mục lục LVTN 6.2.7.1 Sơ đồ nguyên lý 95 6.2.7.2 Mạch thi công 96 6.2.8 Mạch hiển thị Led 96 6.2.8.1 Sơ đồ nguyên lý 96 6.2.8.2 Mạch thi công 97 6.3 Mơ hình 97 Chương 7: Kết thực nghiệm 98 7.1 Kết 98 7.1.1 Vận tốc đặt 1000 rpm 98 7.1.1.1 Điện áp pha VAC 98 7.1.1.2 Điện áp dây VAC 99 7.1.1.3 Dòng điện mA 99 7.1.2 Vận tốc đặt 1500 rpm 99 7.1.2.1 Điện áp pha VAC 99 7.1.2.2 Điện áp dây VAC 100 7.1.2.3 Dòng điện mA 100 7.1.3 Vận tốc đặt 2000 rpm 100 7.1.3.1 Điện áp pha VAC 100 7.1.3.2 Điện áp dây VAC 101 7.1.3.3 Dòng điện mA 101 7.1.4 Vận tốc đặt 2500 rpm 101 7.1.4.1 Điện áp pha VAC 101 7.1.4.2 Điện áp dây VAC 102 7.1.4.3 Dòng điện mA 102 7.2 Nhận xét 102 Chương 8: Kết luận 103 8.1 Kết luận 103 8.2 Các vấn đề thực 103 8.3 Các vấn đề tồn 103 8.4 Hướng phát triển 103 TÀI LIỆU THAM KHẢO 104 PHỤ LỤC 105 VHD: PGS TS Lê Minh Phương Trang x HVTH: Trương Minh Triệu Danh sách chữ viết tắt LVTN DANH SÁCH CÁC CHỮ VIẾT TẮT DC: chiều KĐB: không đồ ng bô ̣ FOC - Field Oriented Control: điề u khiể n tựa trường RFOC – Roto Field Oriented Control: điều khiển tựa từ thông roto DRFOC – Direct Roto Field Oriented Control: điều khiển tựa từ thông roto kiểu trực tiếp IRFOC – Indirect Roto Field Oriented Control: điều khiển tựa từ thông roto kiểu gián tiếp DTC - Direct Torque Control: điề u khiể n trực tiế p moment Lm : hỗ cảm rotor stator (H) L s : điện cảm tiêu tán phía cuộn dây stator(H) L r : điện cảm tiêu tán phía cuộn dây rotor quy đổi stator (H) Ls  Lm  L s : điện cảm stator Lr  Lm  L r : điện cảm rotor Rs : điện trở stator (  ) Rr : điện trở rotor (  ) Ts  Ls : số thời gian stator Rs Tr  Lr : số thời gian rotor Rr   1 L2m : hệ số tiêu tán tổng Ls Lr P - Power: công suấ t động (W) p - Pole couple: số đôi cực động J : momen quán tính(Kg/m2) TL - Torque Load: moment tải (Nm) GVHD: PGS TS Lê Minh Phương Trang xi HVTH: Trương Minh Triệu Chương 6: Thiết kế phần cứng mơ hình LVTN 6.2.8.2 Mạch thi cơng Hình 6.23: Mạch hiển thị Led 6.3 Mơ hình Hình 6.24: Mơ hình GVHD: PGS TS Lê Minh Phương Trang 97 HVTH: Trương Minh Triệu Chương 7: Kết thực nghiệm LVTN Chương KẾT QUẢ THỰC NGHIỆM Sau số kết đạt học viên tiến hành thử nghiệm mơ hình với điện áp 310 VDC, tần số đóng ngắt cho khóa IGBT kHz Động sử dụng mô hình có thơng số định mức: P = 0.75 KW, Udây = 400 VAC, Ipha = 1.9 A, tốc_độ = 2885 rpm, f = 50 Hz Dạng sóng điện áp Uab Uan (đo động cơ) dòng điện Ia Ib (đo cảm biến dòng) học viên chụp lại Osiloscope Đối với điện áp tương ứng với 50 VAC, dịng điện tương ứng với 200 mA Để chứng minh giải thuật ta phải điều khiển tốc độ, dòng Isd Isq Nhưng tần số đóng cắt khóa IGBT lớn (5 KHz) nên kết nối DSP máy tính mõi mà ta thực chức thời gian thực (Read-time mode) để vẽ dạng sóng Nhưng học viên chứng minh cách quay phim lại 7.1 Kết Các kết sau đo cho động chạy không tải 7.1.1 Vận tốc đặt 1000 rpm 7.1.1.1 Điện áp pha VAC Hình 7.1: Dạng sóng điện áp pha tốc độ 1000 rpm GVHD: PGS TS Lê Minh Phương Trang 98 HVTH: Trương Minh Triệu Chương 7: Kết thực nghiệm LVTN 7.1.1.2 Điện áp dây VAC Hình 7.2: Dạng sóng điện áp dây tốc độ 1000 rpm 7.1.1.3 Dòng điện mA Hình 7.3: Dạng sóng dịng điện tốc độ 1000 rpm 7.1.2 Vận tốc đặt 1500 rpm 7.1.2.1 Điện áp pha VAC Hình 7.4: Dạng sóng điện áp pha tốc độ 1500 rpm GVHD: PGS TS Lê Minh Phương Trang 99 HVTH: Trương Minh Triệu Chương 7: Kết thực nghiệm LVTN 7.1.2.2 Điện áp dây VAC Hình 7.5: Dạng sóng điện áp dây tốc độ 1500 rpm 7.1.2.3 Dịng điện mA Hình 7.6: Dạng sóng dịng điện tốc độ 1500 rpm 7.1.3 Vận tốc đặt 2000 rpm 7.1.3.1 Điện áp pha VAC Hình 7.7: Dạng sóng điện áp pha tốc độ 2000 rpm GVHD: PGS TS Lê Minh Phương Trang 100 HVTH: Trương Minh Triệu Chương 7: Kết thực nghiệm LVTN 7.1.3.2 Điện áp dây VAC Hình 7.8: Dạng sóng điện áp dây tốc độ 2000 rpm 7.1.3.3 Dịng điện mA Hình 7.9: Dạng sóng dịng điện tốc độ 2000 rpm 7.1.4 Vận tốc đặt 2500 rpm 7.1.4.1 Điện áp pha VAC Hình 7.10: Dạng sóng điện áp pha tốc độ 2500 rpm GVHD: PGS TS Lê Minh Phương Trang 101 HVTH: Trương Minh Triệu Chương 7: Kết thực nghiệm LVTN 7.1.4.2 Điện áp dây VAC Hình 7.11: Dạng sóng điện áp dây tốc độ 2500 rpm 7.1.4.3 Dịng điện pha mA Hình 7.12: Dạng sóng dịng điện tốc độ 2500 rpm 7.2 Nhận xét Điện áp dây: tín hiệu điện áp đẹp tốc độ cao Điện áp pha: tín hiệu điện áp pha với lý thuyết nghịch lưu pha bậc, tốc độ cao dạng tín hiệu đẹp Dịng điện stator: dịng điện có dạng hình Sine, lệch 1200 Ta thấy vận tốc cao (2500 rpm) dạng sóng dịng điện điện áp bị méo dạng, khơng cịn với lý thuyết Điều giải thích q điều chế Biện pháp khắc phục tình trạng ta tăng thêm điện áp VDC từ 310 lên 537 GVHD: PGS TS Lê Minh Phương Trang 102 HVTH: Trương Minh Triệu Chương 8: Kết luận LVTN Chương KẾT LUẬN 8.1 Kết luận Sau chạy mơ hình, học viên có số nhận xét sau: Tín hiệu dịng điện stator, điện áp dây, điện áp pha với dạng nghịch lưu pha bậc Tín hiệu dòng Isd, Isq tốc độ (File quay phim) chứng minh phương pháp điều khiển định hướng tựa từ thông - FOC Tuy nhiên chất lượng dạng tín hiệu cịn thấp 8.2 Các vấn đề thực Nghiên cứu thành công lý thuyết điều khiển động theo phương pháp FOC Xây dựng giải thuật lập trình điều khiển tốc độ động KĐB theo phương pháp FOC Tìm hiểu cấu trúc DSP TMS320F2812 Áp dụng lý thuyết điều khiển FOC vào lập trình thử nghiệm mơ hình Mơ hình chạy thử với điện áp 310 VDC ngõ vào 8.3 Các vấn đề cịn tồn Mơ hình chạy thử nghiệm với điện áp thấp (310 VDC), nên tốc độ động chưa đạt đến định mức (đồng thời dịng Isd đặt khơng cao, khoảng 0.3) Do khả mang tải động cịn hạn chế Chất lượng tín hiệu dịng stator chưa thật tốt, cần hiệu chỉnh lại thông số Kp, Ki cho phù hợp 8.4 Hướng phát triển Chạy với điện áp vào 537 VDC để mơ hình đạt tốc độ định mức Lập trình giao tiếp với máy tính để hiển thị thơng số: tốc độ, dòng điện, điện áp, đặt tốc độ máy tính Lập trình điều khiển động khơng đồng theo phương pháp FOC ước lượng tốc độ cách không dùng Encoder GVHD: PGS TS Lê Minh Phương Trang 103 HVTH: Trương Minh Triệu Tài liệu tham khảo LVTN TÀI LIỆU THAM KHẢO [1] Texas Instruments, Field Orientated Control of Three phase AC-motors, (BPRA073), December 1997 [2] Texas Instruments, DSP Solution for Permanent Magnet Synchronous Motor, (BPRA044), November 1996 [3] Texas Instruments, Clarke & Park Transforms on the TMS320C2xx, (BPRA048), November 1996 [4] Texas Instruments, Digital Motor Control Software Library (SPRU485A), August 2001, Revised October 2003 [5] Nguyễn Văn Nhờ, Điện tử công suất 1, NXB Đại học Quốc gia TP Hồ Chí Minh, 2002 [6] Nguyễn Văn Nhờ, Cơ sở truyền động điện, NXB Đại học Quốc gia TP Hồ Chí Minh, 2003 [7] Phan Quốc Dũng – Tô Hữu Phúc, Truyền động điện, NXB Đại học Quốc gia TP Hồ Chí Minh, 2003 [8] Nguyễn Hữu Phúc, Kỹ thuật điện (Máy điện quay), NXB Đại học Quốc gia TP Hồ Chí Minh, 2003 [9] Nguyễn Phùng Quang, Điều khiển tự động truyền động điện xoay chiều ba pha, NXB Giáo dục, 1998 [10] Nguyễn Thị Phương Hà – Huỳnh Thái Hoàng, Lý thuyết điều khiển tự động, NXB Đại học Quốc gia TP Hồ Chí Minh, 2005 GVHD: PGS TS Lê Minh Phương Trang 104 HVTH: Trương Minh Triệu Phụ lục LVTN PHỤ LỤC #include "DSP281x_Device.h" #include "DSP281x_Examples.h" #include "math.h" // Khai bao Prototype cho cac chuong trinh void Gpio_select(void); // Thiet lap trang thai cho cac chan ngoai vi void SpeedUpRevA(void); // Thiet lap tang toc RAM void InitSystem(void); // Thiet lap tan so cac xung nhip dung DSP void init_eva(void); // Thiet lap cac bo quan ly su kien A void Space_vector(void); // Dieu che vector khong gian int Sin(float); // Tinh Sin bang cach tra bang int Cos(float); // Tinh Cos bang cach tra bang void InitAdc(void); // Khoi dong ADC void init_adc(void); // Thiet lap ADC void read_adc(void); // Doc va xu ly tin hieu ADC void read_encoder(void); // Doc xung encoder va tinh toan toc interrupt void cpu_timer0_isr(void); // Doc hoi tiep, tinh toan dieu khien dong co // Thong so dong co #define Lm 0.384 // Dien cam magnetic (H) #define Rr 9.3 // Dien tro cuon roto (Om) #define Lr 0.4 // Dien cam cuon roto Lr=Lh+Lr_1(H) #define Rs 13.5 // Dien tro cuon stator (Om) #define Ls 0.42 // Dien cam cuon stator Ls=Lh+Ls_1(H) #define In 2.1 // Dong dien dinh muc (A) #define Vn 400.0 // Dien ap dinh muc (VAC) #define p 1.0 // So doi cuc #define Ndm 2885 // Toc dinh muc (rpm) #define Tr // Hang so thoi gian roto Tr= Lr/Rr 0.043 VHD: PGS TS Lê Minh Phương Trang 105 HVTH: Trương Minh Triệu Phụ lục LVTN #define ADC_max 4095.0 // Gia tri ADC toi da #define n_ref_max 2800.0 // Tan so dat toi da #define Imax 2.5 // Dong dien cuc dai duoc (A) #define Kp_s 0.01 // He so Kp hieu chinh Isq_ref #define Ki_s 0.007 // He so Ki hieu chinh Isq_ref #define KP_VD 50.0 // He so Kp hieu chinh Vd #define KI_VD 2000.0 // He so Ki hieu chinh Vd #define KP_VQ 50.0 // He so Kp hieu chinh Vq #define KI_VQ 2000.0 // He so Ki hieu chinh Vq #define ISQMAX_DSP 1.7 // Gia tri chan Isq_ref #define VDC 310.0 // Dien ap tu #define pi 3.141593 // Gia tri hang so Pi #define T_PWM 3750.0 // Chu ki dong ngat ung voi kHz #define Tx 250.0 // Chu ky tinh toan, lay mau du lieu , don vi uS #define SO_XUNG_1VONG 4000.0 // Gia tri tran cua timer dem xung encoder // Khai bao bien float VEDMAX=VDC*0.577; // Gia tri chan tren cua Vd float VEDMIN=-VDC*0.577; // Gia tri chan duoi cua Vd float VEQMAX=VDC*0.577; // Gia tri chan tren cua Vq float VEQMIN=-VDC*0.577; // Gia tri chan duoi cua Vq float Speed_RPM = ,Speed_REF = 0, Speed_RPM0=0; // Van toc rotor int ADC0=0,ADC1=0,ADC2=0; // Gia tri ADC float Ia=0, Ib=0; // Dong dien pha float Is_alpha=0, Is_beta=0, ISD=0, ISQ=0; // Dong dien he truc tinh va xoay float ISQREF_DSP=0; // Dong Isq_ref float ISDREF_DSP=0.3; // Dong Isd_ref float VEDREF=0, VEQREF=0; // Dien ap stator he truc xoay float VBETA_REF=0, VALFA_REF=0; // Dien ap stator he truc tinh VHD: PGS TS Lê Minh Phương Trang 106 HVTH: Trương Minh Triệu Phụ lục LVTN float ws=0; // Tan so goc cua tu thong (rad/s) float Teta_cm_new=0, Teta_cm_old=0; // Goc cua tu thong rotor // Cac he so khau PI float E1SN=0, e= 0; // Khau PI cua toc float EP1ID=0, h= 0; // Khau PI cua ISDREF float EP1IQ=0, i= 0; // Khau PI cua ISQREF float l= 2*pi*p/60; // Doi don vi rpm(Co) >rad/s(Dien) // Include cac chuong trinh #include "sin_table.h" #include "init_ev.h" #include "space_vector.h" #include "sin_cos.h" #include "encoder.h" #include "adc.h" /////////////////////////////////////// CHUONG TRINH CHINH //////////////////////////////////////////////// void main(void) { InitSystem(); // Thiet lap he thong watchdog, tan so cac xung nhip SpeedUpRevA(); // Thiet lap tang toc RAM InitPieCtrl(); // Thiet lap bo ngat mo rong PIE InitPieVectTable(); // Thiet lap bang ngat mo rong PIE IER = 0x0001; // Cho phep duong ngat INT1 IFR = 0x0000; // Xoa cac co ngat init_eva(); // Thiet lap trang thai bo quan ly su kien A InitAdc(); // Khoi dong ADC init_adc(); // Thiet lap trang thai bo ADC Gpio_select(); // Thiet lap trang thai cac chan ngoai vi EALLOW; // Lenh bao ve (bat dau) PieVectTable.TINT0 = &cpu_timer0_isr; EDIS; VHD: PGS TS Lê Minh Phương // Xac dinh vi tri chuong trinh ngat // Lenh bao ve (ket thuc) Trang 107 HVTH: Trương Minh Triệu Phụ lục LVTN InitCpuTimers(); // Thiet lap trang thai Cpu timer ConfigCpuTimer(&CpuTimer0, 150, (Tx)); // Cau hinh: tan so xung la 150 Mhz EvaRegs.COMCONA.bit.FCOMPOE=1; // PWM1->6 output enable while(1) { unsigned int giatri, donvi, chuc, tram, ngan, a, b; a= Speed_REF; b= Speed_RPM; if (GpioDataRegs.GPBDAT.bit.GPIOB8==1) // chon gia tri hien thi giatri= a; else giatri= b; donvi=giatri%10; ngan=giatri/1000; tram=giatri/100-ngan*10; chuc=giatri/10-tram*10-ngan*100; GpioDataRegs.GPBDAT.all=donvi; // xuat port B gia tri don vi GpioDataRegs.GPFDAT.all=0x1; DELAY_US(5000L); GpioDataRegs.GPBDAT.all=chuc; // xuat port B gia tri chuc GpioDataRegs.GPFDAT.all=0x2; DELAY_US(5000L); GpioDataRegs.GPBDAT.all=tram; // xuat port B gia tri tram GpioDataRegs.GPFDAT.all=0x4; DELAY_US(5000L); GpioDataRegs.GPBDAT.all=ngan; // xuat port B gia tri ngan GpioDataRegs.GPFDAT.all=0x8; DELAY_US(5000L); } VHD: PGS TS Lê Minh Phương Trang 108 HVTH: Trương Minh Triệu Phụ lục LVTN } ///////KET THUC CHUONG TRINH CHINH, BAT CHUONG TRINH NGAT //////// interrupt void cpu_timer0_isr(void) { PieCtrlRegs.PIEACK.all = PIEACK_GROUP1; // Xac nhan da vao ngat read_encoder(); // Doc xung encoder va tinh toan toc read_adc(); // Doc va xu ly tin hieu ADC // Tinh toan Isq Speed_REF = ADC2 * n_ref_max/ADC_max; // Doc toc tu bien tro E1SN = Speed_REF - Speed_RPM; // PI Isq_ref dung cho FOC e += E1SN*Ki_s*Tx/1000000; ISQREF_DSP = e + Kp_s*E1SN; if(ISQREF_DSP>ISQMAX_DSP) ISQREF_DSP=ISQMAX_DSP; else if(ISQREF_DSP alpha, beta) Is_alpha = Ia; Is_beta = (Ia + 2*Ib)*0.57735; // Chuyen truc tu (alpha, beta > d,q) ISD=Is_alpha*Cos(Teta_cm_old)*0.001+Is_beta*Sin(Teta_cm_old)*0.001; ISQ=-Is_alpha*Sin(Teta_cm_old)*0.001+Is_beta*Cos(Teta_cm_old)*0.001; // Uoc luong goc tu thong ws = Speed_RPM*l + ISQREF_DSP/ISDREF_DSP/Tr; // ws=w_rotor+w_slip Teta_cm_new = Teta_cm_old + ws*Tx/1000000; if (Teta_cm_new > 2*pi) Teta_cm_new = Teta_cm_new - 2*pi; VHD: PGS TS Lê Minh Phương Trang 109 HVTH: Trương Minh Triệu Phụ lục LVTN else if Teta_cm_old = Teta_cm_new; // Tinh toan Vsd EP1ID = ISDREF_DSP - ISD; if (flag_VEDREF) h += EP1ID*KI_VD*Tx/1000000; VEDREF = h + KP_VD*EP1ID; if(VEDREF >= VEDMAX) VEDREF = VEDMAX; else if(VEDREF = VEQMAX) VEQREF = VEQMAX; else if(VEQREF alpha, beta ) VALFA_REF=-VEQREF*Sin(Teta_cm_new)*0.001+VEDREF*Cos(Teta_cm_new)*0.001; VBETA_REF=VEQREF*Cos(Teta_cm_new)*0.001+VEDREF* Sin(Teta_cm_new)*0.001; // Thuc hien SVPWM Space_vector(); } //////////////////////////////////////////// KET THUC CHUONG TRINH ///////////////////////////////////// VHD: PGS TS Lê Minh Phương Trang 110 HVTH: Trương Minh Triệu S K L 0 ... điều khiển đại động KĐB pha cho hệ truyền động Do học viên thực luận văn định thực đề tài: ? ?Điều khiển động KĐB pha phương pháp FOC sở DSP TMS320F2812? ?? 1 .3 Nhiệm vụ luận văn Tìm hiểu phương pháp. .. FOC 28 3. 5 Bộ nghịch lưu pha vector không gian 32 3. 5.1 Bộ nghịch lưu pha 32 3. 5.2 Vector không gian 33 3. 5 .3 Cách điều chế vector không gian 34 3. 5.4 Giản... phương pháp điều khiển động KĐB pha Tìm hiểu trúc phần cứng tập lệnh DSP TMS320F2812 Lập trình điều khiển hệ truyền động động KĐB pha sở DSP TMS320F2812 theo phương pháp tựa từ thông FOC (Field

Ngày đăng: 24/12/2022, 07:45

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan