Thiết kế mạch điều khiển đèn LED sáng lan tắt dần với chiều dài L = 10 sử dụng DFF

24 148 0
Thiết kế mạch điều khiển đèn LED sáng lan tắt dần với chiều dài L = 10 sử dụng DFF

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI KHOA CƠ KHÍ  KĨ THUẬT XUNG SỐ Đề tài Thiết kế mạch điều khiển đèn LED sáng lan tắt dần với chiều dài L = 10 sử dụng D FF Giáo viên hướng dẫn Sinh vi.

BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI KHOA CƠ KHÍ - - KĨ THUẬT XUNG SỐ Đề tài Thiết kế mạch điều khiển đèn LED sáng lan tắt dần với chiều dài L = 10 sử dụng D-FF Giáo viên hướng dẫn: … Sinh viên thực hiện: Lớp: Khoa: ……… ……… ……… ………… ……… ………… ………… Hà Nội – 2022 MỤC LỤC MỤC LỤC DANH MỤC HÌNH ẢNH .3 DANH MỤC BẢNG BIỂU .4 LỜI NÓI ĐẦU LỜI CẢM ƠN CHƯƠNG TỔNG QUAN VỀ HỆ THỐNG 1.1 Mạch ghi dịch .7 1.2 Cơ sở lựa chọn đề tài 1.3 Ứng dụng thực tiễn CHƯƠNG TÍNH TỐN, THIẾT KẾ MƠ HÌNH HỆ THỐNG 10 2.1 Tính tốn hệ thống 10 2.2 Lựa chọn thiết kế mơ hình hệ thống 10 2.3 Lựa chọn linh kiện điện tử 11 CHƯƠNG THỰC HÀNH 15 3.1 Mô mạch 15 3.2 Chế tạo mạch 19 CHƯƠNG KẾT LUẬN 22 DANH MỤC HÌNH ẢNH Hình 1.1 – Sơ đồ mạch ghi dịch bit Hình 2.1 – Sơ đồ khối IC 74LS164 11 Hình 2.2 – Trạng thái IC 74LS164 11 Hình 2.3 – IC NE555 .12 Hình 2.4 – IC hạ áp 5V 12 Hình 2.5 – Đèn LED 13 Hình 2.6 - Domino 14 Hình 2.7 – Điện trở 14 Hình 3.1 – Mạch nguồn 15 Hình 3.2 – Mạch tạo xung điều khiển 15 Hình 3.3 – Sơ đồ tổng thể hệ thống 16 Hình 3.4 - Trạng thái đèn LED sáng dần .17 Hình 3.5 – Trạng thái đèn LED tắt dần 17 Hình 3.6 – Mơ mạch 2D .18 Hình 3.7 - Mô mạch 3D 18 Hình 3.8 – Cắt mạch 19 Hình 3.9 – In mạch 19 Hình 3.10 – Tiến hành ăn mòn mạch .20 Hình 3.11 – Mạch sau ăn mòn 20 Hình 3.12 – Mạch hồn thiện 21 DANH MỤC BẢNG BIỂU Bảng 1.1 – Bảng trạng thái ghi dịch bit .8 Bảng 2.1 – Trạng thái thang ghi dịch 10 bit 10 LỜI NÓI ĐẦU Ngày quen thuộc với máy vi tính, hệ thống vi xử lí hồn hảo Trong cấu trúc VXL có thành phần quan trọng ALU (Arithmetic logic unit : logic số học) Nó tính tốn hàng ngàn, triệu phép tính 1s Cấu tạo nên VXL lại gồm mạch đếm, ghi, cổng logic mạch so sánh cộng trừ nhân chia số học gọi mạch làm tốn Khơng mạch làm tốn cịn sử dụng điện tử nói chung kể điều khiển tự động, truyển liệu chẳng hạn thu nhận liệu từ bên ngồi cần phải có mạch tính tốn, so sánh tín hiệu phản hồi Phần tìm hiểu mạch làm tốn giới thiệu qua ALU Đây không kiến thức cần biết học mạch số mà tảng để tiếp cận lĩnh vực máy tính VXL mà ta gặp học hay tìm hiểu mơn VXL, vi điều khiển, cấu trúc máy tính, truyền số liệu LỜI CẢM ƠN Trước tiên, chúng em xin gửi lời cảm ơn trân trọng sâu sắc cô Nguyễn Thị Thu Hà – người tạo điều kiện tận tình hướng dẫn, động viên chúng em suốt q trình nghiên cứu thực đồ án mơn học Chúng em xin trân trọng cảm ơn đến tất q thầy khoa Cơ Khí trường Đại Học Công Nghiệp hà Nội, người trang bị cho chúng em kiến thức bản, nhiệt tình quân tâm giúp đỡ tạo điều kiện thuận lợi để chúng em học tập, nghiên cứu hoàn thành đồ án Kỹ thuật xung số Chúng em xin cảm ơn Sinh viên thực CHƯƠNG TỔNG QU AN VỀ HỆ TH ỐN G 1.1 Mạch ghi dịch 1.1.1 Nguyên lý chung Thanh ghi gọi ghi dịch phần tử thiếu CPU, hệ vi xử lý,…Nó có khả ghi giữ dịch thông tin (sang phải sang trái) Bộ ghi dịch cấu tạo từ dãy phần tử nhớ đơn bit (trigơ) mắc liên tiếp với số cửa logic hỗ trợ Muốn ghi truyền từ nhị phân n bit ta cần n phần tử nhớ (n trigơ) Trong ghi dịch thường dùng trigơ đồng trigơ RST, trigơ JK, trigơ D Thông thường người ta hay dùng trigơ D trigơ khác mắc theo kiểu trigơ D để tạo thành ghi 1.1.2 Phân loại - Ghi song song: Các bit từ nhị phân ghi đồng thời lúc vào ghi - Ghi nối tiếp: Các bit từ nhị phân đưa vào ghi cách theo thứ tự từ nhị phân Trong đề tài đề cập đến mạch ghi vào nối tiếp, song song 1.1.3 Mạch ghi vào nối tiếp song song dịch phải Bộ ghi nối tiếp dịch phải, dịch trái cho song song nối tiếp Hình 1.1 – Sơ đồ mạch ghi dịch bit Đây sơ đồ có lối vào nối tiếp, lối song song nối tiếp Khi cho xung kim âm tác động vào lối vào xoá, lối Q trigơ ghi trạng thái Muốn ghi ta phải đưa bit thông tin nối tiếp thời gian truyền vào lối vào nối điều khiển đồng xung nhịp Cứ sau xung nhịp trạng thái trigơ lại xác lập theo thông tin lối vào D Trong sơ đồ hình 3.16 lối trigơ trước lại nối với vào lối vào D trigơ sau nên sau lần có xung nhịp tác động trigơ sau lại nhận giá trị trigơ đứng trước Giả sử ta có bit số liệu D1D2D3D4 truyền liên tiếp tới lối vào ghi bit D4 đến trước Q trình ghi thơng tin diễn sau: Bảng 1.1 – Bảng trạng thái ghi dịch bit Xung nhịp Q1 D4 D3 D2 D1 Q2 0 D4 D3 D2 Q3 0 D4 D3 Q4 0 0 D4 Sau xung nhịp thơng tin nạp xong, muốn đưa liệu lối song song ta đặt mức lối ‘Điều khiển ra”, lối cửa AND lối song song xác lập theo trạng thái Q1, Q2, Q3, Q4 trigơ ghi Trong cách điều khiển liệu song song thơng tin ghi trì Để điều khiển liệu nối tiếp, ta phải tác động nhóm xung nhịp lối vào CLK (điều khiển ghi) Sau xung nhịp tác động bit liệu đưa khỏi ghi Như vậy, trình điều khiển ghi nối tiếp bit trình đưa bit liệu cũ khỏi ghi qua lối nối tiếp 1.2 Cơ sở lựa chọn đề tài Chúng ta biết đến loại FF Chúng lưu trữ (nhớ bit) có xung đồng bit truyền tới ngõ (đảo hay không đảo) Bây ta mắc nhiều FF nối tiếp lại với nhớ nhiều bit Các ngõ phần hoạt động theo xung nhịp ck Có thể lấy ngõ tầng FF (gọi ngõ song song) hay tầng cuối (ngõ nối tiếp) Như mạch ghi lại liệu (nhớ) dịch chuyển (truyền) nên mạch gọi ghi dịch Ghi dịch có nhiều ứng dụng đặc biệt máy tính, tên nó: lưu trữ liệu dịch chuyển liệu ứng dụng bật 1.3 Ứng dụng thực tiễn Thanh ghi dịch đóng vai trị quan trọng việc lưu trữ, tính tốn số học logic Chẳng hạn vi xử lí, máy tính có cấu tạo ghi dịch, vi điều khiển (8051) có ghi dịch làm nhiều chức hay nhân chia Một số ứng dụng mạch ghi dịch: ‒ ‒ ‒ ‒ Lưu trữ dịch chuyển liệu Tạo kí tự hay tạo dạng song điều khiển Chuyển đổi liệu nối tiếp sang song song ngược lại Bus truyền liệu 1.3.1 Phạm vi nghiên cứu Đề tài thuộc lĩnh vực điện tử phạm vi kỹ thuật xung số Vật tư, trang thiết bị: dụng cụ cầm tay, vật liệu (theo đề tài nhóm), linh kiện điện tử bản… Đảm bảo an toàn lao động 10 CHƯƠNG TÍNH TO ÁN, TH IẾT KẾ MƠ HÌ NH HỆ TH ỐN G 2.1 Tính tốn hệ thống ‒ Đầu vào: xung đồng CK ‒ Đầu ra: 10 đèn LED Mạch khơng có đầu vào ngồi xung đồng có đầu 10 đèn LED Như vậy, ta chọn mạch ghi dịch có đầu vào nối tiếp đầu song song có chiều dài 10 bit 2.2 Lựa chọn thiết kế mơ hình hệ thống Bộ ghi nối tiếp dịch phải, dịch trái cho song song nối tiếp Đây sơ đồ có lối vào nối tiếp, cịn lối song song nối tiếp Khi cho xung kim âm tác động vào lối vào xoá, lối Q 10 trigơ ghi trạng thái Muốn ghi ta phải đưa bit thông tin nối tiếp thời gian truyền vào lối vào nối điều khiển đồng xung nhịp Cứ sau xung nhịp trạng thái trigơ lại xác lập theo thơng tin lối vào D Lối trigơ trước lại nối với vào lối vào D trigơ sau nên sau 11 lần có xung nhịp tác động trigơ sau lại nhận giá trị trigơ đứng trước Bảng 2.2 – Trạng thái thang ghi dịch 10 bit Xun g Q1 Q2 Q3 Q4 Q5 Q6 Q7 Q8 Q9 Q10 0 0 0 0 1 0 0 0 0 1 0 0 0 1 1 0 0 0 1 1 0 0 1 1 1 0 0 1 1 1 0 1 1 1 1 0 1 1 1 1 10 1 1 1 1 1 Giả sử ta có 10 bit số liệu D1D2D3 … D9D10 truyền liên tiếp tới lối vào ghi Sau 10 xung nhịp thơng tin nạp xong, muốn đưa liệu lối song song ta đặt mức lối ‘Điều khiển ra”, lối cửa AND lối song song xác lập theo trạng thái trigơ ghi Trong cách điều khiển liệu song song thông tin ghi trì Sau 10 xung nhịp tác động (đèn sáng dần), ta đảo trạng thái lối vào mạch ghi dịch (đèn tắt dần) 2.3 Lựa chọn linh kiện điện tử 2.3.1 IC ghi dịch 74LS164 Sơ đồ logic IC ghi dịch 74LS164 gồm flip flops , cổng AND hai cổng NOT 12 Hình 2.2 – Sơ đồ khối IC 74LS164 Hình 2.3 – Trạng thái IC 74LS164 13 2.3.2 IC tạo xung NE555 Hình 2.4 – IC NE555 Thơng số kỹ thuật: ‒ ‒ ‒ ‒ ‒ ‒ Điện áp đầu vào: 4.5-16V Dòng điện cung cấp : 10mA - 15mA Điện áp logic mức cao : 0.5 - 15V Điện áp logic mức thấp : 0.03 - 0.06V Công suất lớn : 600mW Nhiệt độ hoạt động: – 70oC 2.3.3 IC hạ áp AMS1117 Hình 2.5 – IC hạ áp 5V Thông số kỹ thuật: 14 ‒ ‒ ‒ ‒ ‒ Điện áp ngõ ra: 5V Dòng tối đa: 1A Áp ngõ vào: 1.5 < Vin-Vout < 12V (4.8-8.7VDC) Nhiệt độ hoạt động: - 40 đến +125 độ C Dạng chân: SOT-223 2.3.4 Đèn LED Đèn LED: dùng để tín hiệu đầu Hình 2.6 – Đèn LED 2.3.5 Domino Dùng làm đầu cấp nguồn cho bo mạch Đầu domino có vít đấu tháo dây giúp cho việc gắn dây đễ dàng hết Hình 2.7 - Domino 2.3.6 Điện trở ‒ Khống chế dòng điện qua tải cho phù hợp 15 ‒ ‒ ‒ Mắc điện trở thành cầu phân áp Phân cực cho bóng bán dẫn Tham gia vào mạch tạo dao động RC Hình 2.8 – Điện trở 16 CHƯƠNG THỰC HÀ NH 3.1 Mô mạch 3.1.1 Mạch nguồn Với đầu vào sử dụng pin 9V, ta cần hạ áp xuống 5V để linh kiện mạch hoạt động tốt Hình 3.9 – Mạch nguồn 3.1.2 Mạch tạo xung Sử dụng IC NE555 để tạo xung điều khiển cho mạch ghi dịch 17 Hình 3.10 – Mạch tạo xung điều khiển 3.1.3 Sơ đồ tổng thể Hình 3.11 – Sơ đồ tổng thể hệ thống 18 3.1.4 Chạy thử nghiệm Trạng thái đèn LED sáng dần Hình 3.12 - Trạng thái đèn LED sáng dần Trạng thái đèn LED tắt dần Hình 3.13 – Trạng thái đèn LED tắt dần Từ kết mô thấy mạch thực 19 Hình 3.14 – Mơ mạch 2D Hình 3.15 - Mơ mạch 3D 20 3.2 Chế tạo mạch Bước 1: In file mạch nguyên lí cắt phíp đồng Hình 3.16 – Cắt mạch Bước 2: Dùng bàn là mạch để mực in bám vào tầm phíp đồng Hình 3.17 – In mạch Bước 3: Ngâm mạch dung dịch FeCl3, sau mạch bị ăn mòn hết, thả mạch vào nước, dung giấy giáp chà lớp mực in mạch Phủ lớp keo thông lỏng lên mạch để tránh oxy hoá lớp đồng 21 Hình 3.18 – Tiến hành ăn mịn mạch Hình 3.19 – Mạch sau ăn mòn Bước 4: Dùng máy khoan, khoan lỗ chân linh kiện mạch Bước 5: Dùng máy hàn hàn chân linh kiện vào mạch Mạch hồn thiện: 22 Hình 3.20 – Mạch hồn thiện Đánh giá: ‒ Mạch chạy theo thiết kế ‒ Nhỏ gọn, đẹp ‒ Có thể tăng giảm thời gian biến trở 23 CHƯƠNG KẾT LU ẬN Trong q trình nghiên cứu thực đồ án, nhóm chúng em tiếp thu thêm nhiều kiến thức, tìm kiếm thêm nguồn tài liệu để bổ sung thêm kiến thức để hồn thành đồ án Nhóm em biết cách thiết kế, lựa chọn linh kiện phù hợp với đề tài chế tạo sản phẩm mạch hoạt động thực tế Việc hoàn thành tốt đồ án kỹ thuật xung số sở để nhóm em thực đồ án quan trọng sau đồ án điện tử đồ án tốt nghiệp Nhóm chúng em mong nhận góp ý để hồn thành hệ thống tốt Xin chân thành cảm ơn! 24 ... thái đèn LED sáng dần Hình 3.12 - Trạng thái đèn LED sáng dần Trạng thái đèn LED tắt dần Hình 3.13 – Trạng thái đèn LED tắt dần Từ kết mơ thấy mạch thực 19 Hình 3.14 – Mơ mạch 2D Hình 3.15 - Mơ mạch. .. đưa liệu l? ??i song song ta đặt mức l? ??i ? ?Điều khiển ra”, l? ??i cửa AND l? ??i song song xác l? ??p theo trạng thái Q1, Q2, Q3, Q4 trigơ ghi Trong cách điều khiển liệu song song thông tin ghi trì Để điều khiển. .. khiển liệu song song thông tin ghi trì Sau 10 xung nhịp tác động (đèn sáng dần) , ta đảo trạng thái l? ??i vào mạch ghi dịch (đèn tắt dần) 2.3 L? ??a chọn linh kiện điện tử 2.3.1 IC ghi dịch 74LS164

Ngày đăng: 20/12/2022, 13:32

Tài liệu cùng người dùng

Tài liệu liên quan