Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống
1
/ 28 trang
THÔNG TIN TÀI LIỆU
Thông tin cơ bản
Định dạng
Số trang
28
Dung lượng
2,83 MB
Nội dung
CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn BỘ GIAO THÔNG VẬN TẢI TRƯỜNG ĐH GIAO THÔNG VẬN TẢI TP.HCM KHOA ĐIỆN – ĐIỆN TỬ VIỄN THÔNG BÁO CÁO ĐỒ ÁN MÔN HỌC HỌC PHẦN: KĨ THUẬT SỐ Mã học phần: 010103210408 Đề tài: Thiết kế, mô tập 5.23 phần mềm Proteus, Max Plus II Giảng viên hướng dẫn: Th.s Nguyễn Thanh Hiếu Thành viên nhóm: Nguyễn Hữu Tài_2051050175_TD20D Nguyễn Hữu Thắng_2051050198_TD20D Phan Nhật Tân_2051050178_TD20D Nguyễn Thành Trung_2051050221_TD20D Tp Hồ Chí Minh, ngày tháng 06 năm 2022 Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS Tài liệu ôn tập – xxxx – 2022 www.hocthatlamthat.edu.vn CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn CHƯƠNG PHẦN MỀM PROTEUS 1.1 Giới thiệu phần mềm: Phần mềm Proteus cho phép mô hoạt động mạch ện tử bao gồm phần thiết kế mạch viết chương trình điều ển cho h ọ vi ều khiển MCS-51, PIC, AVR, … Proteus phần mềm mô mạch điện tử Labcenter Electronics, mô cho hầu hết linh ki ện ện t thông dụng, đặc biệt hỗ trợ cho cá MCU PIC, BOS1, AVR Motorola Phần mềm bao gồm chương trình: ISIS (Intelligent Schematic Iniput System) cho phép mô mạch ARES (Advanced Routing and Editing Software) dùng để mạch in Proteus công cụ mô cho loại Vi Đi ều Khi ển tốt, h ỗ tr ợ dòng VĐK PIC, 8051, PIC, dsPIC, AVR, HC11, MSP430, ARM7/LPC2000 giao tiếp I2C, SPI, CAN, USB, Ethenet, ngồi cịn mơ ph ỏng m ạch s ố, mạch tương tự cách hiệu Proteus công cụ chuyên mô ph ỏng mạch điện tử 1.2 Đặc điểm: − Có khả mơ hầu hết trình điều khiển cho vi điều khiển − Chọn đối tượng thiết lập thông số cho đối tượng dễ dàng − Xuất file Netlist tương thích với chương trình làm m ạch in thông dụng − Xuất file thống kê linh kiện cho mạch − ISIS tích hợp nhiều cơng cụ giúp cho việc quản lý m ạch ện l ớn, mạch điện lên đến hàng ngàn linh kiện ph ục vụ cho thi ết k ế mạch chuyên nghiệp − Thiết kế theo cấu trúc (hierachical design) − Khả tự động đánh số linh kiện 1.3 Tính năng: − Các tính mà phần mềm thiết kế mạch in đáp ứng: + Tạo hình dạng kích thước mạch + Cho phép nhập thư viện linh kiện Cho phép tạo thư vi ện linh kiện + Xoay, lật linh kiện Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Kiểm tra xung đột mạch như: khoảng cách tối thi ểu hai linh kiện; khoảng cách tối thiểu hai đường mạch; chập đường mạch chân đất đấu với chân nguồn; + Tự động chạy đường mạch * Vẽ sơ đồ nguyên lý: Có thể dễ dàng sơ đồ mạch điện tử Proteus m ột cách d ễ dàng nhanh chóng Ban lấy linh kiên mong muốn từ th vi ện c Proteus, sau kết nối linh kiện lại với để tạo mạch điện tử hoàn ch ỉnh Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn * Mô phỏng: Khả ứng dụng Proteus mơ phỏng, phân tích kết qu ả từ mạch nguyên lý Proteus giúp người sử dụng có th ể th trước m ạch thiết kế chạy hay sai trước thi công mạch Các công cụ phục vụ cho việc phân tích mạch có độ xác cao nh vôn kế đo điện áp, ampe kế đo dòng điện, máy đao động ký Thiết kế mạch in PCB: Là tính dễ sử dụng Proteus Có thể tự tạo thi ết kế bắt Proteus làm hộ bạn Tự tạo thiết kế dễ dàng ch ỉ cần b ạn đ ặt nh ững chi tiết vào sơ đồ vẽ đường mạch điện chạy qua Đừng lo lắng vi ệc vi ph ạm quy tắc thiết kế tự động phát hi ện l ỗi Còn n ếu mu ốn Proteus làm thay bạn cần đặt chi tiết vào vị trí tương ứng r ồi cho ch ạy tự động Nó vẽ cách đặt đường mạch lựa t ốt Và hi ện cịn có tùy chỉnh “Auto placer”, yêu cầu bạn xác l ập kích th ước b ảng cách vẽ hình dáng kích cỡ mạch Sau đó, t ự đ ộng đ ặt chi ti ết vào khn Sau tất việc bạn phải làm lập sơ đồ mạch Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Ngồi ra, q trình thiết kế mạch in bạn xem hình 3D Tính hữu ích, cho phép bạn thi ết board m ạch in đ ẹp theo mong muốn 1.4 Hướng dẫn cách sử dụng: − Bước 1: Khởi động chương trình Proteus Professional: + Bạn chạy chương trình Proteus Professional cách nhấp vào biểu tượng Tài liệu ôn tập – xxxx – 2022 ISIS Professional desktop CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn chọn Windows >> Programs >> Proteus >> Professional >> ISIS Professional + Sau phần mềm khởi động xong bạn thấy phần giao di ện sau: − Bước 2: Tạo dự án: Để thực tạo dự án phần mềm vẽ proteus người làm theo bước sau: + Đầu tiên, click chuột vào mục New project Một bảng thao tác ra, mục Name, bạn điền tên project tùy thích Lưu ý khơng xóa phía sau Tài liệu ơn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Bấm Browse để tạo thư mục lưu Người dùng tạo thư mục đâu máy tính, miễn thuận tiện cho việc tìm kiếm đ ược Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Một bảng ra, click chuột vào Create a PCB layout from… nhấn chuột vào Generic Single Player.Tiếp đến bạn cần bấm Next Finish − Bước 3: Mở chương trình ISIS Professional: B ạn nhấp vào biểu tượng Schematic Capture công c ụ c giao di ện Proteus để mở chương trình ISIS Professional + Sau chương trình ISIS mở ra, vùng làm việc v ới nút giao diện để thiết kế mạch xuất hình bên Các bạn lưu ý vùng làm việc ISIS có khung vng màu xanh, vẽ mạch bạn phải đảm bảo toàn ph ần mạch bạn vẽ phải nằm khung vng − Bước 4: Tạo phím tắt proteus: Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Đầu tiên, nhìn qua góc bên tay trái, bi ểu tượng hình chuột có tên Selectionmode Ở đây, người chọn đường dây, chọn tên linh kiện Ở icon phía thao tác cho linh ki ện: l xóa bỏ linh kiện Icon thứ tư có tên LBL cắt nhãn, đặt hai nhãn gần với chúng n ối l ại Tuy nhiên, thấy đường dây Icon Terminals Mode lấy output, input, power, bus,… + Chọn system cơng cụ, sau nhấn vào Set keyboard mapping Để tạo phím tắt proteus, bạn tìm ki ếm dòng chữ Rotate Clockwise với ký hiệu R Sau chọn Selection mode với biểu tượng hình trỏ chuột Component mode hay Wire label mode + Khi bấm vào mode, nhìn vào mục bên dưới, ền vào phím cho chức bấm Assign Thao tác tức bạn gắn phím để thực chức cụ thể Tiếp đến nhấn OK − Bước 5: Lấy tất linh kiện sử dụng từ thư viện Proteus: Tài liệu ôn tập – xxxx – 2022 10 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Nhấp giữ trái chuột vào linh kiện cần di chuyển, sau rê chu ột đến vị trí thả chuột Bạn có th ể dùng lệnh Block Move công cụ di chuyển linh kiện * Xoay linh kiện: + Để xoay linh kiện bạn thao tác sau: • Đặt trỏ lên linh kiện cần xoay sau bấm phải chuột, bạn chọn lệnh xoay (rotate) theo chiều kim đồng h ồ, ngược chiều kim đồng hồ, xoay 180° Bạn lật (mirror) linh kiện theo chiều ngang hay chiều dọc từ cửa sổ tắt Bạn dùng công cụ Block Rotate công cụ để xoay linh kiện * Xóa linh kiện: + Bạn để trỏ lên linh kiện cần xóa bấm phải chuột sau b ạn chọn lệnh Delete Object từ shortcut menu Bạn dùng phím Delete để xóa linh kiện dùng cơng cụ Block Delete thành cơng cụ để xóa linh kiện Tài liệu ôn tập – xxxx – 2022 14 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn − Bước 7: Thay đổi thông số kỹ thuật linh kiện: + Để vẽ mạch cách nhanh chóng khơng thi ết ph ải lấy linh kiện có thơng số xác, mạch có nhi ều linh kiện giống khác thông số kỹ thuật Nếu lấy linh kiện với thông số yêu cầu nhi ều th ời gian đơi thư viện khơng có linh kiện với thơng s ố cần tìm Vì vậy, ta cần phải thay đổi thông số kỹ thuật cho linh ki ện + Ví dụ: Sau đặt điện trở ngồi hình thiết kế, bạn double click vào linh kiện này, cửa sổ bạn ti ến hành thay đ ổi tên giá trị điện trở vào ô Part Reference Resistance tương ứng Cuối bạn nhấp chọn OK để hoàn tất việc chỉnh sửa − Bước 8: Bố trí, xếp lại linh kiện cho hợp lý: + Bạn dùng lệnh di chuyển linh kiện, lật linh ki ện,…như trình bày để bố trí, xếp lại linh ki ện mạch cho Tài liệu ôn tập – xxxx – 2022 15 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn thật hợp lý trước tiến hành bước Mục đích việc làm làm cho sơ đồ mạch rõ ràng trình thi ết k ế m ạch hoàn tất − Bước 9: Nối dây: + Sau lấy xếp linh kiện theo mong muốn, bạn ti ến hành nối chân linh kiện cho mạch Bạn tiến hành sau: • Đặt trỏ chân linh kiện cần nối dây ô vuông màu đỏ xuất sau bạn click chu ột vào chân linh kiện chế độ nối dây bắt đầu Bạn rê chuốt đến chân linh kiện cần nối khác click chuột l ần đ ể kết thúc trình nối dây Bạn thao tác tương tự hoàn thành sơ đồ mạch • Để xóa đường nối dây sai, bạn nhấp phải chuột đường dây nối chọn Delete Wire double click phải đường dây nối − Bước 10: Kiểm tra sơ đồ mạch nguyên lý: + Kiểm tra sơ đồ mạch sau hoàn thành xong mạch thi ết k ế quan trong, giúp bạn tìm lỗi mà trình thi ết kế bạn chưa phát + Để kiểm tra lỗi ta thao tác sau: • Trên cơng cụ, bạn chọn Tool >> Electrical Rule Check • Nếu có thơng lỗi bạn tìm cách khắc phục khơng cịn lỗi nhận dịng thơng báo (No ERC errors found) hình Tài liệu ơn tập – xxxx – 2022 16 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Sau kiểm tra hiệu chỉnh sơ đồ mạch mong muốn bạn nh lưu lại + Mạch dao động đa hài phi ổn dùng IC 555 vẽ chương trình ISIS Proteus sau: 1.5 Ưu điểm nhược điểm phần mềm: * Ưu điểm: − Dễ dạng tạo sơ đồ nguyên lý từ đơn giản đến phức tạp − Dễ dàng sửa đặc tính linh kiến sơ đồ nguyên lý − Hỗ trợ kiểm tra lỗi thiết kế sơ đồ nguyên lý Có thể xem l ưu l ại phần báo lỗi − Phần mềm chạy mơ phân tích tính chất m ột m ạch điện cách xác Tài liệu ôn tập – xxxx – 2022 17 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn − Proteus cung cấp cho người sử dụng công cụ biên dịch cho họ vi xử lý MSC51, AVR, HC11, qua tạo tập tin hex dùng để nạp cho vi xử lý tập tin dsl dùng để xem chạy kiếm tra bước trình mô − Phần mềm cung cấp nhiều mô hình linh kiện có chức mơ từ vi điều khiển thông dụng đến link ki ện ngo ại vi nh ư: LED, LCD, Keypad, cổng RS232, cho phép người sử dụng mô từ hệ vi điều khiển hoàn chỉnh đến việc xây dựng phần mềm cho h ệ thống đáp ứng giao thức vật lý Ngồi ra, Proteus cịn cho phép b ạn t ự tạo link kiên tương tác động bạn thực hi ện mơ ph ỏng có tương tác giống hoạt động mạch thật * Nhược điểm: − Phần mạch có giao diện không đẹp hấp dẫn 1.6 Ứng dụng: − Phần mềm giúp thiết kế bảng mạch in dựa sơ đồ mạch ện tạo trước Rồi vẽ lên bảng mạch điện tử, bảng cách điện có lắp linh kiện hàn kết nối với đường mạch điện theo sơ đồ định sẵn để thực chức xác định Để s ản xu ất hàng loạt, làm bảng mạch có nhiều linh kiện, phải bố trí l ắp linh kiện nhiều chân, người ta áp dụng công nghệ mạch in đ ể tạo bảng mạch cách nhanh chóng xác − Nội dung công nghệ mạch in tạo phim chứa hình ảnh đường mạch, in hình lên lớp mặt đồng nguyên liệu, sau khoan lỗ ăn mịn đồng phần ngồi đường mạch Tùy theo nhu cầu làm mạch mà mạch in đặc trung với số lớp khác nhau: + Mạch in ba lớp có hai mặt đồng, dùng thi ết bị ện tử phức tạp hệ thống đo lường, Tài liệu ôn tập – xxxx – 2022 18 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Mạch in nhiều lớp hơn, dùng thiết bị cần tiết kiệm không gian Tài liệu ôn tập – xxxx – 2022 19 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn + Mạch in năm lớp, tương đương với ép hai loại kể trên, có ba l ớp đồng hai lớp cách điện, dùng hệ phức tạp cao máy tính cá nhân, + Mạch in hai lớp có mặt đồng, dùng phổ biến chuột máy tính, thiết bị âm dân dụng, điều khiển quạt, lò vi sóng, − Hầu hết phần mềm thiết kế mạch in làm việc máy tính cá nhân môi trường MS Windows Tại hãng chế tạo máy lớn, thiết kế bo mạch chủ cho máy điện tốn, điện thoại thơng minh, phần mềm làm việc máy tính trạm Tài liệu ôn tập – xxxx – 2022 20 CLB Điện – Điện Tử GTS Tài liệu ôn tập – xxxx – 2022 www.hocthatlamthat.edu.vn 21 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn CHƯƠNG GIẢI VÀ THIẾT KẾ MẠCH Đề bài: 5.23- Thiết kế mạch điếm song song JK-FF ( xung clock cạnh xuống ) với yêu cầu không sử dụng dãy đếm đưa trạng thái 111 xung clock Bài làm: 2.1 Giải mạch Bảng kích thích JK-FF Q 0 1 Q+ 1 J X X K X X Bảng trạng thái hoạt động đếm TT Hiện Tại TT Kế Tiếp J2 Q2 Q1 Q0 Q2+ Q1+ Q0+ 0 0 0 0 1 1 1 0 1 1 1 1 1 1 1 1 1 0 1 J K2 K J1 J K1 K J0 J K0 1 Lập bìa k cho hàm ngõ vào Tài liệu ôn tập – xxxx – 2022 22 CLB Điện – Điện Tử GTS 0 1 1 1 X X X X 0 1 0 www.hocthatlamthat.edu.vn X X X X 0 1 1 0 1 X X 1 X X 0 1 1 0 X X 1 X X Tài liệu ôn tập – xxxx – 2022 23 CLB Điện – Điện Tử GTS 0 www.hocthatlamthat.edu.vn 1 1 0 X X 1 X X 1 0 1 0 X X X X Tài liệu ôn tập – xxxx – 2022 24 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn 2.2 Mô phần mềm Proteus Tài liệu ôn tập – xxxx – 2022 25 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn 2.3 Mô phần mềm Max Plus II: MÃ code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Hendra Kesuma entity ent_JK_FF is Port ( J : in std_logic; K : in std_logic; CLK: in std_logic; RN : in std_logic; Q : out std_logic; QN : out std_logic); end ent_JK_FF; architecture arch_JK_FF of ent_JK_FF is Tài liệu ôn tập – xxxx – 2022 26 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn signal FF : std_logic := '0'; begin process(CLK, RN) variable Temp_variable : std_logic_vector (1 downto 0); begin if RN = '0' then Q