1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

Giáo trình Vi mạch số lập trình (Nghề: Điện tử công nghiệp - CĐ/TC): Phần 1 - Trường Cao đẳng Nghề Đồng Tháp

74 3 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung giáo trình được bố cục bao gồm 7 bài với nội dung như sau: Giới thiệu chung về PLD, CPLD và FPGA; Họ CPLD; Họ FPGA; Qui trình thiết kế cho CPLD và FPGA của hãng Xilinx; Phần mềm ISE và modelsim; Ngôn ngữ Verilog HDL; Mốt số chương trình ứng dụng. Mời các bạn cùng tham khảo nội dung phần 1 giáo trình!

UỶ BAN NHÂN DÂN TỈNH ĐỒNG THÁP TRƯỜNG CAO ĐẲNG NGHỀ ĐỒNG THÁP GIÁO TRÌNH MƠN HỌC/ MƠ ĐUN: VI MẠCH SỐ LẬP TRÌNH NGÀNH/ NGHỀ: ĐIỆN TỬ CƠNG NGHIỆP TRÌNH ĐỘ: CAO ĐẲNG, TRUNG CẤP (Ban hành kèm theo Quyết định Số: 257 /QĐ-TCĐNĐT ngày 13 tháng năm 2017 Hiệu trưởng Trường Cao đẳng nghề Đồng Tháp) Đồng Tháp, năm 2017 TUYÊN BỐ BẢN QUYỀN Tài liệu thuộc loại giáo trình nên nguồn thơng tin phép dùng ngun trích dùng cho mục đích đào tạo tham khảo Mọi mục đích khác mang tính lệch lạc sử dụng với mục đích kinh doanh thiếu lành mạnh bị nghiêm cấm LỜI GIỚI THIỆU Để thực biên soạn giáo trình đào tạo nghề Điện tử cơng nghiệp trình độ Cao Đẳng Nghề Trung Cấp Nghề, giáo trình Vi mạch số lập trình giáo trình mơ đun đào tạo chuyên ngành biên soạn theo nội dung chương trình khung Bộ Lao động Thương binh Xã hội Tổng cục Dạy Nghề phê duyệt Nội dung biên soạn ngắn gọn, dễ hiểu, tích hợp kiến thức kỹ chặt chẽ với nhau, logíc Trong năm gần đây, công nghệ FPGA ( Field Programmable Gate Array) phát triển mạnh mẽ lĩnh vực điện tử FPGA hiểu IC số lập trình được, ứng dụng việc xử lý tín hiệu số, xử lý số,…để thay IC số thông thường, cồng kềnh Bằng cách sử dụng FPGA người thiết kế tạo mạch điện chức thay sử dụng nhiều IC số Chính vậy, nhu cầu hiểu biết IC số lập trình nói chung FPGA nói riêng nhu cầu cần thiết cho cán kỹ thuật điện tử Nội dung giáo trình bố cục bao gồm với nội dung sau: Bài 1: Giới thiệu chung PLD, CPLD FPGA Bài 2: Họ CPLD Bài 3: Họ FPGA Bài 4: Qui trình thiết kế cho CPLD FPGA hãng Xilinx Bài 5: Phần mềm ISE modelsim Bài 6: Ngôn ngữ Verilog HDL Bài 7: Mốt số chương trình ứng dụng Tuy nhiên, tùy theo điều kiện sở vật chất trang thiết bị, trường có thề sử dụng cho phù hợp Mặc dù cố gắng tổ chức biên soạn để đáp ứng mục tiêu đào tạo không tránh khiếm khuyết Rất mong nhận đóng góp ý kiến thầy, giáo, bạn đọc để nhóm biên soạn hiệu chỉnh hồn thiện Các ý kiến đóng góp xin gửi Trường Cao đẳng nghề Đồng Tháp Đồng Thápi, ngày tháng năm 2017 Tham gia biên soạn MỤC LỤC TRANG LỜI GIỚI THIỆU MỤC LỤC BÀI 10 GIỚI THIỆU CHUNG VỀ PLD, CPLD VÀ FPGA 10 Lịch sử phát triển: 10 Sự cần thiết ý nghĩa thực tế việc sử dụng mảng logic lập trình được: 12 Cấu trúc PLD: 13 3.1 PAL: 13 3.2 PLA: 15 3.3 GAL 15 Cấu trúc CPLD: 16 Cấu trúc FPGA: 18 Sự khác biệt PLD, CPLD FPGA: 19 Phần mềm hỗ trợ: 20 BÀI 21 HỌ CPLD 21 Giới thiệu chung: 21 Vi mạch CPLD: 22 BÀI 28 HỌ FPGA 28 Giới thiệu chung: 28 Vi mạch FPGA: 29 2.1 Mô tả FPGA: 30 2.2 Thông số giới hạn: 48 2.3 Đặc tính lưu trữ liệu: 48 2.4 Đặc tính điện DC: 49 2.5 Công suất tiêu thụ: 54 2.6 Sơ đồ chân: 55 2.7 Ý nghĩa tên linh kiện: 70 Lựa chọn phương án cấu hình cho FPGA: 72 BÀI 74 QUY TRÌNH THIẾT KẾ CHO CPLD VÀ FPGA CỦA HÃNG XILINX 74 Phương án lựa chọn CPLD FPGA 74 Qui trình thiết kế cho CPLD hãng Xilinx: 75 Qui trình thiết kế cho FPGA hãng Xilinx: 79 BÀI 81 PHẦN MỀM ISE VÀ MODELSIM 81 Cài đặt khởi động ISE: 81 Tạo Project ISE: 89 Cài đặt khởi động Modelsim: 93 Mô dạng sóng ISE Modelsim: 100 4.1 Mô ISE: 100 4.2 Mô modelsim: 108 Gán chân thích ứng với thiết bị: 122 Biên dịch tổng hợp chương trình: 124 Đổ chương trình vào CPLD FPGA: 129 Tạo sử dụng core có sẵn từ ISE: 141 BÀI 147 NGÔN NGỮ VERILOG HDL 147 Giới thiệu ngôn ngữ Verilog HDL: 147 1.1 HDL gì? 147 1.2 Tầm quan trọng HDL: 148 1.3 Đặc điểm bật Verilog: 149 1.4 Xu hướng HDL: 149 Tổng quan ngôn ngữ Verilog: 150 2.1 Lịch sử ngôn ngữ Verilog 150 2.2 Phương pháp thiết kế hệ thống: 151 2.3 Các khái niệm Verilog: 152 2.4 Module port: 160 Verilog HDL mức thiết kế phổ biến: 163 3.1 Thiết kế mức cổng: 163 3.2 Các cổng định nghĩa sẵn: 163 3.3 Thiết kế mức Dataflow: 167 3.4 Thiết kế mức hành vi: 178 3.5 Tast Function: 192 Bài tập 6: 197 BÀI 199 MỘT SỐ CHƯƠNG TRÌNH ỨNG DỤNG 199 Điều khiển led đơn: 199 1.1 Chương trình chính: 199 1.2 Chương trình testbench: 201 1.3 kết mô phỏng: 202 Thanh ghi dịch: 202 2.1 Chương trình chính: 202 2.2 Chương trình testbench: 203 2.3 Kết mô phỏng: 205 Mạch đếm: 205 3.1 Chương trình chính: 205 3.2 Chương trình testbench: 206 3.3 Kết mô phỏng: 208 Mạch đếm vòng xoắn Johson: 208 4.1 Chương trình chính: 208 4.2 Chương trình testbench: 209 4.3 Kết mô phỏng: 210 Bộ Mạch mã hóa giải mã: 210 5.1 Bộ mã hóa encoder sang 3: 210 5.2 Bộ giải mã decoder sang 3: 213 Mux/Demux: 217 6.1 Bộ mux: 217 6.2 Bộ demux: 220 Bộ so sánh cộng liệu: 225 7.1 Bộ so sánh: 225 7.2 Bộ cộng liệu: 230 Giao tiếp với led ma trận: 238 Điều chế độ rộng xung chia tần: 241 9.1 Chia tần số: 241 9.2 Điều chế độ rộng xung: 244 10 Truyền liệu song song: 249 10.1 Chương trình chính: 249 10.2 Chương trình testbench: 250 10.3 Kết mô phỏng: 252 11 Giao tiếp ADC cảm biến nhiệt độ 252 11.1 Chương trình chính: 252 11.2 Chương trình testbench: 255 11.3 Kết mô phỏng: 257 12 Tạo đệm liệu: 258 13 Sử dụng core có sẵn ISE vào thiết kế: 260 14 Bài tập 7: 261 TÀI LIỆU THAM KHẢO 262 MÔN ĐUN: VI MẠCH SỐ LẬP TRÌNH Mã mơ đun: MĐ30 Vị trí, tính chất, ý nghĩa vai trị mơ đun: - Vị trí mơ đun: Mơ đun bố trí dạy sau học song mô đun vi xử lý, vi điều khiển - Tính chất mơ đun: Là mơ đun bắt buộc - Ý nghĩa vai trò mô đun: giúp sinh viên nắm bắt kiến thức kỹ lập trình FPGA ứng dụng vào lĩnh vực điện tử, mô đun thiếu sinh viên nghề điện tử công nghiệp Mục tiêu mơ đun: - Trình bày cấu tạo, đặc tính họ vi mạch số lập trình như: PLD, CPLD, FPGA theo nội dung học - Phân tích mạch ứng dụng vi mạch số lập trình CPLD, FPGA theo tiêu chuẩn nhà sản xuất - Thiết kế yêu cầu điều khiển dùng CPLD, FPGA theo yêu cầu kỹ thuật - Sửa chữa, thay linh kiện hư hỏng đạt yêu cầu kỹ thuật - Kiểm tra xác điều kiện hoạt động thiết bị Nội dung mô đun: Thời gian Số TT Tên mô đun Giới thiệu chung PLD, CPLD, FPGA, mảng logic lập trình 5.5 5.5 0 Họ CPLD 5.5 5.5 0 Họ FPGA 6 0 Qui trình thiết kế cho CPLD FPGA Xilinx Tổng số Lý Thực thuyết hành Kiểm tra Phần mềm ISE modelsim 15 10 Ngôn ngữ Verilog HDL 34 18 15 Viết số chương trình ứng dụng 75 66 Tổng 150 52 91 7 59 Hình 3.30 - Kiểu đóng gói chân linh kiện VQ100 60 Hình 3.31 – Kiểu đóng gói chân linh kiện CP132 61 Hình 3.32 – Kiểu đóng gói chân linh kiện TQ144 62 Hình 3.33a – Kiểu đóng gói chân linh kiện PQ208 (nửa trái) 63 Hình 3.33b – Kiểu đóng gói chân linh kiện PQ208 (nửa phải) 64 Hình 3.34 – Kiểu đóng gói chân linh kiện FT256 65 Hình 3.35 – Kiểu đóng gói chân linh kiện FG320 66 Hình 3.36a – Kiểu đóng gói chân linh kiện FG400 (nửa trái) 67 Hình 3.36b – Kiểu đóng gói chân linh kiện FG400 (nửa phải) 68 Hình 3.37a – Kiểu đóng gói chân linh kiện FG484 (nửa trái) 69 Hình 3.37b – Kiểu đóng gói chân linh kiện FG484 (nửa phải) 70 2.7 Ý nghĩa tên linh kiện: Hình 3.38; 3.39 hình 3.40 cho ta thấy ví dụ ý nghĩa tên linh kiện FPGA Spartan – 3E hãng Xilinx Mặt linh kiện nhìn từ mặt xuống Mã mặt nạ Mã chế tạo Công nghệ xử lý Kiểu linh kiện Kiểu đóng gói Phân loại tốc độ Mã ngày Mã lơ hàng Giới hạn nhiệt độ Chân P1 Hình 3.38 – Một ví dụ đóng gói linh kiện Spartan – 3E QFP Mã mặt nạ A1 BGA Kiểu linh kiện Kiểu đóng gói Mã chế tạo Mã xử lý Mã ngày Mã lô hàng Phân loại tốc độ Giới hạn nhiệt độ Hình 3.39 – Một ví dụ đóng gói linh kiện Spartan – 3E BGA 71 A1 Kiểu linh kiện Mã ngày Mã lô hàng Giới hạn nhiệt độ Kiểu đóng gói C5 = CP132 C6 = CPG132 Phân loại tốc độ Mã mặt nạ Mã xử lý Mã chế tạo Hình 3.40 – Một ví dụ đóng gói linh kiện Spartan – 3E CP132 CPG132 Họ linh kện FPGA Spartan – 3E có hai kiểu đóng gói kiểu chuẩn kiểu Pb – free Kiểu đóng gói Pb – free bao gồm ký tự “G” kèm theo mã linh kiện Nhìn vào kiểu đóng gói FPGA, ta biết số cổng logic số chân FPGA Kiểu đóng gói chuẩn: XC3S250E -4 FT 256 C Giới hạn nhiệt độ Kiểu linh kiện Số cổng logic Số chân FPGA Phân loại tốc độ Kiểu đóng gói Hình 3.41 – Ví dụ kiểu đóng gói chuẩn họ linh kiện FPGA Spartan – 3E Kiểu đóng gói Pb – Free XC3S250E -4 FT G 256 C Giới hạn nhiệt độ Kiểu linh kiện Số cổng logic Phân loại tốc độ Kiểu đóng gói Số chân FPGA Pb - free Hình 3.42 – Ví dụ kiểu đóng gói Pb-Free họ linh kiện FPGA Spartan – 3E 72 Bảng 3.16 cung cấp cho ta thấy chi tiết phân loại tốc độ, kiểu đóng gói, số chân giới hạn nhiệt độ họ linh kiện FPGA Spartan – 3E Bảng 3.16 – Các thơng số tốc độ, kiểu đóng gói, số chân giới hạn nhiệt độ họ linh kiện FPGA – Spartan – 3E Phân loại tốc độ -4 Hiệu suất chuẩn -5 Hiệu suất cao Kiểu đóng gói/số chân VQ100 VQG100 100-pin Very Thin Quad Flat Pack (VQFP) CP132 CPG132 132-ball Chip-Scale Package (CSP) TQ144 TQG144 144-pin Thin Quad Flat Pack (TQFP) PQ208 PQG208 208-pin Plastic Quad Flat Pack (PQFP) FT256 FTG256 256-ball Fine-Pitch Thin Ball Grid Array (FTBGA) FG320 FGG320 320-ball Fine-Pitch Ball Grid Array (FBGA) FG400 FGG400 400-ball Fine-Pitch Ball Grid Array (FBGA) FG484 FGG484 484-ball Fine-Pitch Ball Grid Array (FBGA) Giới hạn nhiệt độ (TJ) C Thương mại (0oC tới 85oC) I Công nghiệp (-40oC tới 100oC) Lựa chọn phương án cấu hình cho FPGA: Từ đặc tính lưu trữ liệu chương trình cho FPGA ta lựa chọn phương án cấu hình cho FPGA dựa vào đặc tính lưu trữ liệu Cấu hình trực tiếp FPGA: chương trình sau nguồn điện, phương pháp cấu hình thường sử dụng cần kiểm tra chương trình hoạt động yêu cầu thiết kế hay chưa, ứng dụng vào giảng dạy, học tập,… Cấu hình chương trình phần tử nhớ: phương pháp cấu hình áp dụng để lưu giữ chương trình cho FPGA hoạt động hệ thống ứng dụng cụ thể, sau test xong chương trình,…Tùy thuộc vào thiết kế, ứng dụng cụ thể mà người dùng lựa các phương pháp cấu hình cho phần tử nhớ khác PROM, SPI, Card CF,… 73 YÊU CẦU VỀ ĐÁNH GIÁ KẾT QUẢ HỌC TẬP BÀI 3:   Nội dung: - Về kiến thức: Trình bày kiến thức tổng quan cấu trúc, tính đặc tính họ FPGA Phương án cấu hình cho FPGA - Về kỹ năng: phân biệt loại FPGA khác Xác định lựa chọn linh kiện FPGA thiết kế logic - Về thái độ: Đảm bảo an tồn vệ sinh cơng nghiệp Phương pháp: - Về kiến thức: Được đánh giá hình thức kiểm tra viết, trắc nghiệm, vấn đáp ... XC9572 - XC9 510 8 XC9 514 4 XC95 216 XC95288 - 34 34 - - - - 34 - 69 69 - - - - 72 81 81 - - - 72 81 81 - - - - 10 8 13 3 13 3 - - - - 16 6 16 8 - - - 16 6 19 2 Vi mạch CPLD: Mục tiêu: giới thiệu cho người... soạn giáo trình đào tạo nghề Điện tử cơng nghiệp trình độ Cao Đẳng Nghề Trung Cấp Nghề, giáo trình Vi mạch số lập trình giáo trình mơ đun đào tạo chun ngành biên soạn theo nội dung chương trình. .. sinh vi? ?n nghề điện tử công nghiệp Mục tiêu mô đun: - Trình bày cấu tạo, đặc tính họ vi mạch số lập trình như: PLD, CPLD, FPGA theo nội dung học - Phân tích mạch ứng dụng vi mạch số lập trình CPLD,

Ngày đăng: 24/07/2022, 17:42

Xem thêm: