BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa thiết kế mạch mã hóa 16 bits sang 4 bits

17 5 0
BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI - - BÁO CÁO BÀI TẬP LỚN MÔN ĐIỆN TỬ SỐ Đề tài: Tìm hiểu mạch mã hóa Thiết kế mạch mã hóa 16 bits sang bits Nhóm sinh viên thực hiện: Nhóm Danh sách thành viên nhóm: Trịnh Văn Diệu Nguyễn Trần Minh Quân Lê Anh Hào Nguyễn Đức Hiếu TIEU LUAN MOI download : skknchat@gmail.com I Lý thuyết mạch mã hóa Mạch mã hóa Mạch mã hóa mạch logic tổ hợp có M lối vào, lối vào gán số thập phân xác định từ đến M-1 N lối (với 2N ≥M) - Mạch mã hóa có chức biến đổi mã “chọn M” đầu vào thành mã BCD bit chữ số hệ thập phân mã nhị phân N bit đầu - Cơ sở mạch mã hóa Xét mạch mã hóa nhị phân từ sang Ta có sơ đồ khối mạch mã hóa sau: Trong đó: - X 0, X1, X2, X3, X 4, X5, X6, X7 đầu vào - A, B, C đầu Mạch mã hóa nhị phân thực biến đổi tín hiệu đầu vào thành mã nhị phân tương ứng đầu ra, cụ thể sau: → 000 → 100 Chọn mức tác động tích cực đầu vào mức logic 1, ta có bảng trạng thái mô tả hoạt động mạch sau: TIEU LUAN MOI download : skknchat@gmail.com X0 X1 0 0 0 0 0 0 0 Khi lối vào trạng thái tích cực (mức logic 1) lối vào khơng tích cực nhận mức logic đầu xuất mã nhị phân tương ứng Từ ta có phương trình trạng thái đầu sau: A=X1+X3+X5+X7 B=X2+X3+X6+X7 C=X4+X5+X6+X7 Từ phương trình ta có sơ đồ mạch logic thực q trình mã hóa sau: TIEU LUAN MOI download : skknchat@gmail.com Mạch mã hóa ưu tiên Nhược điểm mạch mã hóa nêu mục có nhiều lối vào tích cực nhận mã Mạch mã hóa ưu tiên cho phép tạo mã ứng với lối vào có thứ tự cao số lối vào đồng thời tích cực Ví dụ lối vào X2, X 4, X6 tích cực mã 110 X0 X1 x x x x x x x x x x x x x Để thực mạch mã hóa ưu tiên ta xét logic ưu tiên qua mệnh đề rút từ mạch mã hóa khơng ưu tiên lối A, B, C a, Đối với A: X X X X A = = = = = A = X3 = X = X6 = A = X5= X6 = A = X7 = X X X X X X X X X Vậy A = ( 6) + ( ) + ( 6) X + b, Đối với B: B = X2 = X = X5 = B = X3 = X = X5 = B B X = 6= = X7 = TIEU LUAN MOI download : skknchat@gmail.com Vậy B = (X2.X X5 ) + (X3.X 4.X5) X 6+ X + c, Đối với C: X X C = = = Vậy C = X + X5 + X6 + X7 X = X = Từ ta có mạch logic thực q trình mã hóa ưu tiên sau: TIEU LUAN MOI download : skknchat@gmail.com AI Thiết kế mạch mã hóa 16 bit sang bit Linh kiện sử dụng a, IC 74LS148 IC 74LS148 mạch mã hóa ưu tiên từ bit sang bit Sơ đồ chân hình dạng thực tế 74LS148 Logic diagram TIEU LUAN MOI download : skknchat@gmail.com Bảng chân lý mã hóa ưu tiên vi mạch 74LS148 Thơng số Nguồn cung cấp (V) Điện áp ra: U (V) OH UOL(V) Điện áp vào: U IH(V) U IL(V) Giới hạn dòng ra: IOHgh(mA) IOLgh(mA) Giới hạn dòng vào: IIHgh(mA) IILgh(mA) Công suất tiêu thụ (mW/cổng) Trễ truyền đạt (ns) Tần số làm việc cực đại (MHz) Các đặc tính điện vi mạch 74LS148 TIEU LUAN MOI download : skknchat@gmail.com b, IC 74HC00 74HC00 vi mạch chứa cổng NAND đầu vào Sơ đồ chân hình dạng thực tế 74HC00 Thông số Nguồn cung cấp (V) Điện áp ra: UOH(V) UOL(V) Điện áp vào: U (V) IH UIL(V) Giới hạn dòng ra: I (mA) OHgh IOLgh(mA) Giới hạn dòng vào: I (mA) IHgh IILgh(mA) Trễ truyền đạt (ns) Tần số làm việc cực đại (MHz) Các đặc tính điện vi mạch 74HC00 TIEU LUAN MOI download : skknchat@gmail.com Sơ đồ mạch mã hóa ưu tiên 16-4 Mạch mã hóa ưu tiên 16-4 sử dụng vi mạch 74LS148 cổng NAND đầu vào Mạch có 16 đầu vào (tương ứng với 16 số thập phân từ – 15) đầu Bảng chân lý mạch mã hóa ưu tiên 16-4 TIEU LUAN MOI download : skknchat@gmail.com Các lối vào Các lối TIEU LUAN MOI download : skknchat@gmail.com x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x x 1 x x x x x x x x x x x X0 X1 X x 1 1 1 1 1 1 x 1 1 x x x x x x x x x x 1 1 x x x x x x x x x 1 1 x x x x x x x x 1 1 1 x x x x x x x 1 1 1 x x x x x x 1 1 1 1 x x x x x 1 1 1 1 x x x x 1 1 1 1 1 x x x 1 1 1 1 1 x x Mô phần mềm Proteus Mạch lắp thực tế T I E U L U A N MOI download : skknchat@gmail.com III Ứng dụng mạch mã hóa hệ vi xử lý TIEU LUAN MOI download : skknchat@gmail.com Bộ mã hóa bàn phím Bàn phím gồm 10 phím nhấn trạng thái thường mở, có tiếp điểm phía thiết lập mức logic cách nối điện trở lên nguồn +V, tiếp điểm phía nối xuống đất để thiết lập logic Vi mạch mã hóa ưu tiên cao 74LS147 có lối vào từ đến nối với tiếp điểm phía phím nhấn tương ứng Khi phím nhấn lối vào 74LS147 tương ứng với phím xuống mức lối xuất mã BCD đảo số thập phân ứng với phím Khi nhấn đồng thời nhiều phím có mã BCD đảo ứng với số thập phân cao xuất lối TIEU LUAN MOI download : skknchat@gmail.com ... Các đặc tính điện vi mạch 74HC00 TIEU LUAN MOI download : skknchat@gmail.com Sơ đồ mạch mã hóa ưu tiên 16- 4 Mạch mã hóa ưu tiên 16- 4 sử dụng vi mạch 74LS 148 cổng NAND đầu vào Mạch có 16 đầu vào... thuyết mạch mã hóa Mạch mã hóa Mạch mã hóa mạch logic tổ hợp có M lối vào, lối vào gán số thập phân xác định từ đến M-1 N lối (với 2N ≥M) - Mạch mã hóa có chức biến đổi mã “chọn M” đầu vào thành mã. .. skknchat@gmail.com AI Thiết kế mạch mã hóa 16 bit sang bit Linh kiện sử dụng a, IC 74LS 148 IC 74LS 148 mạch mã hóa ưu tiên từ bit sang bit Sơ đồ chân hình dạng thực tế 74LS 148 Logic diagram TIEU LUAN MOI

Ngày đăng: 16/07/2022, 10:26

Hình ảnh liên quan

Chọn mức tác động tích cực ở đầu vào là mức logic 1, ta có bảng trạng thái mô tả hoạt động của mạch như sau: - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

h.

ọn mức tác động tích cực ở đầu vào là mức logic 1, ta có bảng trạng thái mô tả hoạt động của mạch như sau: Xem tại trang 2 của tài liệu.
Sơ đồ chân và hình dạng thực tế của 74LS148 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

Sơ đồ ch.

ân và hình dạng thực tế của 74LS148 Xem tại trang 7 của tài liệu.
Bảng chân lý mã hóa ưu tiên của vi mạch 74LS148 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

Bảng ch.

ân lý mã hóa ưu tiên của vi mạch 74LS148 Xem tại trang 8 của tài liệu.
UOL(V) Điện áp vào: - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

i.

ện áp vào: Xem tại trang 8 của tài liệu.
UOL(V) Điện áp vào: - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

i.

ện áp vào: Xem tại trang 10 của tài liệu.
Sơ đồ chân và hình dạng thực tế của 74HC00 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

Sơ đồ ch.

ân và hình dạng thực tế của 74HC00 Xem tại trang 10 của tài liệu.
Bảng chân lý của mạch mã hóa ưu tiên 16-4 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits

Bảng ch.

ân lý của mạch mã hóa ưu tiên 16-4 Xem tại trang 12 của tài liệu.

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan