1. Trang chủ
  2. » Giáo Dục - Đào Tạo

BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa thiết kế mạch mã hóa 16 bits sang 4 bits

13 80 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI - - BÁO CÁO BÀI TẬP LỚN MÔN ĐIỆN TỬ SỐ Đề tài: Tìm hiểu mạch mã hóa Thiết kế mạch mã hóa 16 bits sang bits Nhóm sinh viên thực hiện: Nhóm Danh sách thành viên nhóm: Trịnh Văn Diệu Nguyễn Trần Minh Quân Lê Anh Hào Nguyễn Đức Hiếu I Lý thuyết mạch mã hóa Mạch mã hóa - Mạch mã hóa mạch logic tổ hợp có M lối vào, lối vào gán số thập phân xác định từ đến M-1 N lối (với N ≥ M ) - Mạch mã hóa có chức biến đổi mã “chọn M” đầu vào thành mã BCD bit chữ số hệ thập phân mã nhị phân N bit đầu Cơ sở mạch mã hóa Xét mạch mã hóa nhị phân từ sang Ta có sơ đồ khối mạch mã hóa sau: Trong đó: - X 0, X 1, X , X , X 4, X , X , X đầu vào - A, B, C đầu Mạch mã hóa nhị phân thực biến đổi tín hiệu đầu vào thành mã nhị phân tương ứng đầu ra, cụ thể sau: → 000 → 001 → 010 → 011 → 100 → 101 → 110 → 111 Chọn mức tác động tích cực đầu vào mức logic 1, ta có bảng trạng thái mơ tả hoạt động mạch sau: X0 X1 X2 0 0 0 0 0 0 0 0 0 0 Các lối vào X3 X4 X5 X6 X7 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 C 0 0 1 1 Các lối B 0 1 0 1 A 1 1 Khi lối vào trạng thái tích cực (mức logic 1) lối vào khơng tích cực nhận mức logic đầu xuất mã nhị phân tương ứng Từ ta có phương trình trạng thái đầu sau: A = X1 + X3 + X5 + X7 B = X2 + X3 + X6 + X7 C = X + X5 + X6 + X7 Từ phương trình ta có sơ đồ mạch logic thực q trình mã hóa sau: Mạch mã hóa ưu tiên Nhược điểm mạch mã hóa nêu mục có nhiều lối vào tích cực nhận mã Mạch mã hóa ưu tiên cho phép tạo mã ứng với lối vào có thứ tự cao số lối vào đồng thời tích cực Ví dụ lối vào X , X 4, X tích cực mã 110 X0 X1 X2 x x x x x x x x x x x x x 0 x x x x x Các lối vào X3 X4 X5 X6 X7 0 x x x x 0 0 x x x 0 0 x x 0 0 0 x 0 0 0 C 0 0 1 1 Các lối B 0 1 0 1 A 1 1 Để thực mạch mã hóa ưu tiên ta xét logic ưu tiên qua mệnh đề rút từ mạch mã hóa khơng ưu tiên lối A, B, C a, Đối với A: A = X = X = X = X = A = X = X = X = A = X = X = A = X = Vậy A = ( X X X X ) + ( X X X 6) + ( X X 6) + X b, Đối với B: B = X = X = X = B = X = X = X = B = X = B = X = Vậy B = ( X X X ) + ( X X X ) + X + X c, Đối với C: C = X = X = X = X = Vậy C = X + X + X + X Từ ta có mạch logic thực q trình mã hóa ưu tiên sau: II Thiết kế mạch mã hóa 16 bit sang bit Linh kiện sử dụng a, IC 74LS148 IC 74LS148 mạch mã hóa ưu tiên từ bit sang bit Sơ đồ chân hình dạng thực tế 74LS148 Logic diagram Bảng chân lý mã hóa ưu tiên vi mạch 74LS148 Thơng số Nguồn cung cấp (V) Điện áp ra: U OH (V) U OL(V) Điện áp vào: U IH (V) U IL(V) Giá trị ± 5% 2.7 0.5 0.8 Giới hạn dòng ra: -0.4 I OHgh(mA) I OLgh(mA) Giới hạn dịng vào: 0.02 I IHgh(mA) -0.4 I ILgh(mA) Cơng suất tiêu thụ (mW/cổng) Trễ truyền đạt (ns) 10 Tần số làm việc cực đại (MHz) 35 Các đặc tính điện vi mạch 74LS148 b, IC 74HC00 74HC00 vi mạch chứa cổng NAND đầu vào Sơ đồ chân hình dạng thực tế 74HC00 Thơng số Nguồn cung cấp (V) Điện áp ra: U OH (V) U OL(V) Điện áp vào: U IH (V) U IL(V) Giá trị ÷6 4.5 0.26 3.5 1.5 Giới hạn dòng ra: -4 I OHgh(mA) I OLgh(mA) Giới hạn dòng vào: I IHgh(mA) -1 I ILgh(mA) Trễ truyền đạt (ns) Tần số làm việc cực đại (MHz) 55 Các đặc tính điện vi mạch 74HC00 Sơ đồ mạch mã hóa ưu tiên 16-4 Mạch mã hóa ưu tiên 16-4 sử dụng vi mạch 74LS148 cổng NAND đầu vào Mạch có 16 đầu vào (tương ứng với 16 số thập phân từ – 15) đầu Bảng chân lý mạch mã hóa ưu tiên 16-4 Các lối vào Các lối X0 X1 X2 X3 X4 X5 X6 X7 X8 X9 x x x x x x x x x x x x x x x x x x x x x x x x x x x x x 1 x x x x x x x x x x x x x 1 x x x x x x x x x x x x 1 1 x x x x x x x x x x x 1 1 x x x x x x x x x x 1 1 1 x x x x x x x x x 1 1 1 x x x x x x x x 1 1 1 1 x x x x x x x 1 1 1 1 x x x x x x Mô phần mềm Proteus Mạch lắp thực tế X 10 X 11 X 12 X 13 X 14 1 1 1 1 1 x x x x x 1 1 1 1 1 x x x x 1 1 1 1 1 1 x x x 1 1 1 1 1 1 x x 1 1 1 1 1 1 1 x X 15 A3 A2 A1 A0 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 III Ứng dụng mạch mã hóa hệ vi xử lý Bộ mã hóa bàn phím Bàn phím gồm 10 phím nhấn trạng thái thường mở, có tiếp điểm phía thiết lập mức logic cách nối điện trở lên nguồn +V, tiếp điểm phía nối xuống đất để thiết lập logic Vi mạch mã hóa ưu tiên cao 74LS147 có lối vào từ đến nối với tiếp điểm phía phím nhấn tương ứng Khi phím nhấn lối vào 74LS147 tương ứng với phím xuống mức lối xuất mã BCD đảo số thập phân ứng với phím Khi nhấn đồng thời nhiều phím có mã BCD đảo ứng với số thập phân cao xuất lối ... X + X + X Từ ta có mạch logic thực q trình mã hóa ưu tiên sau: II Thiết kế mạch mã hóa 16 bit sang bit Linh kiện sử dụng a, IC 74LS 148 IC 74LS 148 mạch mã hóa ưu tiên từ bit sang bit Sơ đồ chân...I Lý thuyết mạch mã hóa Mạch mã hóa - Mạch mã hóa mạch logic tổ hợp có M lối vào, lối vào gán số thập phân xác định từ đến M-1 N lối (với N ≥ M ) - Mạch mã hóa có chức biến đổi mã “chọn M” đầu... tiên 16- 4 Mạch mã hóa ưu tiên 16- 4 sử dụng vi mạch 74LS 148 cổng NAND đầu vào Mạch có 16 đầu vào (tương ứng với 16 số thập phân từ – 15) đầu Bảng chân lý mạch mã hóa ưu tiên 16- 4 Các lối vào Các

Ngày đăng: 16/07/2022, 05:55

Xem thêm:

HÌNH ẢNH LIÊN QUAN

Chọn mức tác động tích cực ở đầu vào là mức logic 1, ta có bảng trạng thái mơ tả hoạt động của mạch như sau: - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
h ọn mức tác động tích cực ở đầu vào là mức logic 1, ta có bảng trạng thái mơ tả hoạt động của mạch như sau: (Trang 2)
II. Thiết kế mạch mã hóa 16 bit sang 4 bit 1. Linh kiện sử dụng - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
hi ết kế mạch mã hóa 16 bit sang 4 bit 1. Linh kiện sử dụng (Trang 6)
Sơ đồ chân và hình dạng thực tế của 74LS148 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
Sơ đồ ch ân và hình dạng thực tế của 74LS148 (Trang 6)
Bảng chân lý mã hóa ưu tiên của vi mạch 74LS148 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
Bảng ch ân lý mã hóa ưu tiên của vi mạch 74LS148 (Trang 7)
U OH (V)          UOL(V) - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
U OH (V) UOL(V) (Trang 7)
U OH (V)          UOL(V) - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
U OH (V) UOL(V) (Trang 8)
Sơ đồ chân và hình dạng thực tế của 74HC00 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
Sơ đồ ch ân và hình dạng thực tế của 74HC00 (Trang 8)
Bảng chân lý của mạch mã hóa ưu tiên 16-4 - BÁO cáo bài tập lớn môn điện tử số đề tài tìm hiểu về mạch mã hóa  thiết kế mạch mã hóa 16 bits sang 4 bits
Bảng ch ân lý của mạch mã hóa ưu tiên 16-4 (Trang 9)

TỪ KHÓA LIÊN QUAN

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w