Nạp FPGA và chạy thử

Một phần của tài liệu (LUẬN văn THẠC sĩ) nghiên cứu, thiết kế modul thực hành FPGA (Trang 91 - 93)

-

3.3.5. Nạp FPGA và chạy thử

Để nạp cho FPGA ta sử dụng phần mềm XSTOOLs của tập đoàn XESS

Hình 3.16 là giao diện của chơng trình nạp cho FPGA.

Để nạp cho FPGA chọn file có phần mở rộng là bit đã tạo ở

mục 3.3.4 kéo vào ô

FPGA/CPLD và chọn nút Load.

Sau khi đã nạp xong cho FPGA ta vào chơng trình tạo tín hiệu đầu vào cho mạch giải mã bàn phím để thử kết quả của thiết kế .

-92-

Kết luận

Sau thời gian nghiên cứu và tìm hiểu về các thiết bị logic lập trình đợc, cụ thể là thiết bị FPGA của hãng Xilinx, tôi đã đạt đợc một số kết quả sau:

- Nắm đợc phơng pháp thiết kế mới cho các mạch logic lập trình đợc.

- Có kỹ năng sử dụng ngôn ngữ mô tả phần cứng HDL, cụ thể là ngôn

ngữ VHDL

- Tìm hiểu đợc cấu trúc, nguyên lý hoạt động của các thiết bị FPGA, sử dụng FPGA XC2S100 – Họ Spartan II làm đối tợng nghiên cứu cụ thể.

- Thiết kế thành công modul thực hành FPGA theo đúng mục đích và yêu cầu đã đặt ra .

Với những kết quả đã đạt đợc trong thời gian nghiên cứu vừa qua, tôi nhận thấy mình hoàn toàn có khả năng làm chủ các thiết bị FPGA của Xilinx cũng nh các thiết bị logic lập trình đợc của các hãng khác.

Tôi xin chân thành cảm ơn: đ

TS .Đặng Văn Chuyết ã trực tiếp hớng dẫn và giúp đỡ tôi rất nhiều

trong thời gian làm luận văn.

Trung Tâm Đào Tạo và Bồi Dỡng Sau Đại Học Trờng Đại học Bách -

Khoa Hà Nội

Các thầy cô giáo trong Khoa Điện tử – Tự động hoá Trờng Cao đẳng

Công Nghiệp Hà nội đã giúp đỡ tôi về thời gian và thiết bị trong thời gian thực hiện đề tài.

-93-

Tài liệu tham khảo

1.TS.Đặng Văn Chuyết (1996),Kỹ thuật điện tử số, NXB Khoa học kỹ thuật

2.TS. Nguyễn Linh Giang (2004), Thiết kế mạch bằng máy tính, NXB Khoa

học kỹ thuật

3.Charles H.Roth (1999), Digital Systems Design Using VHDL, PWS

Publising Company.

4. Douglas L.Prerry (2002), VHDL Programming by Example, McGraw Hill

5. M.Morris Mano (2002), Digital Design, Prentice Hall.

Một phần của tài liệu (LUẬN văn THẠC sĩ) nghiên cứu, thiết kế modul thực hành FPGA (Trang 91 - 93)

Tải bản đầy đủ (PDF)

(93 trang)