Ví dụ minh họa

Một phần của tài liệu ĐỒ án môn học THIẾT kế MẠCH TÍCH hợp số thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 và phần mềm hỗ trợ altium designer (Trang 34 - 39)

Thiết kế hệ SoPC đơn giản thực hiện hiển thị chữ Hello trên màn hình TFF. - Bước 1: tạo FPGA project

- Bước 2: Tạo file OpenBus

Hình 2.16 Thao tác tạo file OpenBus và không gian làm việc của OpenBus

Hình 2.17 Hoàn thành kết nối cho OpenBus - Bước 3: tạo file mạch nguyên lý

Hình 2.18 Thao tác tạo file Schematic

Hình 2.19 Sơ đồ nguyên lý của dự án “Hello word” - Bước 4: Tạo các ràng buộc cho FPGA project

Hình 2.20 Thao tác tạo file constraint và ràng buộc cho FPGA project - Bước 5: Tạo project nhúng

Hình 2.21 Thao tác tạo file nhúng Embeded

Hình 2.23 Chương trình C - Bước 6: Nạp vào KIT

Click vào Compile => Synthetize => Build => Program FPGA.

Hình 2.24 Màn hình sau khi nạp kit thành công

Ngoài ví dụ trên, chúng ta có thể xem thêm ví dụ về bài toán thiết kế mạch logic tổ hợp (xem phụ lục A) và thiết kế mạch logic tuần tự (xem phụ lục B).

2.5 Kết luận chương

Như vậy các kiến thức tổng quan về công nghệ FPGA, ngôn ngữ mô tả phần cứng VHDL được đưa ra một cách khá đầy đủ. Các công cụ hỗ trợ phần cứng (KIT phát triển NB2DSK01) và công cụ hộ trợ phần mềm Altium Designer là một trong những công cụ phổ biến nhất hiện nay trong việc phục vụ thực hiện các dự án FPGA. Để chứng minh cho điều đó, một ví dụ nhở được thực hiện, đó là cơ sở cho việc lựa chọn giải pháp triển khai hệ thống nhúng trên FPGA mà chương sau sẽ trình bày.

CHƯƠNG 3 ỨNG DỤNG THỰC HIỆN BÀI TOÁN MÁY PHÁT NHẠC SỐ SỬ DỤNG ALTIUM DESIGNER VÀ KIT NB2DSK01

Một phần của tài liệu ĐỒ án môn học THIẾT kế MẠCH TÍCH hợp số thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 và phần mềm hỗ trợ altium designer (Trang 34 - 39)