Mô tả bài toán

Một phần của tài liệu ĐỒ án môn học THIẾT kế MẠCH TÍCH hợp số thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 và phần mềm hỗ trợ altium designer (Trang 55 - 63)

Cho một hệ thống giám sát nhiệt độ, nếu nhiệt độ nằm trong giải từ (30÷80)

thì led sáng. Hãy thiết kế hệ thống dựa trên VDHL và chạy trên KIT NB2DSK01. Biết đầu ra của hệ thống được mô tả bằng hàm F sau:

F=X3X2+X3X2X1+X3X2X0

A.2 Các bước thực hiện

- Bước 1: Tạo Project mới

Khởi động phần mềm altium designer => chọn file => new = > project= > chọn VHDL project. Sửa tên và đường dẫn lưu file.

Hình 0.31 Các thao tác để add file chương trình VHDL

Bước 2: Add File VHDL

Với VHDL project đã có ta add thêm file VHDL bằng cách kích chuột phải vào tên project chọn add new to project => chọn VHDL document.

Hình 0.1. Các thao tác add file VHDL

Nếu ta có sẵn một file VHDL ta cũng có thể thêm vào file có sẵn bằng cách ấn chọn “add exit file”

Bước 3: Viết mã VHDL

Sau khi có file VHDL document ta bắt đầu soạn thảo code.

Hình 0.2. Thao tác viết chương trình VHDL trên altium designer

Bước 4: Biên dịch chương trình

Sau khi soạn thảo code xong ta lưu file lại sau đó ấn chuột phải vào tên file và ấn Compile.

Hình 0.3. Thao tác biên dịch kiểm tra chương trình xem có lỗi hay không

Bước 5 : Tạo file nguyên lý

- Chọn add new to project => Schematic. Sau đó ở cửa sổ shematic ta ấn chuột phải chọn Sheet Action => Create Sheet Symbol From Sheet HDL.

Hình 0.5. Giao diện của Project mạch nguyên lý FPGA trong Altium Designer - Chọn file HDL đã lưu trước đó ta thu được Symbol và đồng thời ta sẽ lấy các

Deepswit và LED trong thư viện của Altium. Sửa tên của các DW, LED và nối với các chân trên symbol.

Hình 0.7. Thao tác lấy dipswitch và đặt tên cho dipswitch

Hình 0.8. Sơ đồ nguyên lý vỏ và thiết bị ngoại vi của hệ thống điều khiển nhiệt độ - Lưu file Schematic lại và chuột phải vào tên file Schematic ấn compile. Sau đó lưu

project và chuột phải vào project và ấn compile

Bước 6: Nạp chương trình lên KIT Nanoboard NB2DSK01

- Kết nối kit NB2 với máy tính, trên phần mềm altium ấn vào tab device tích vào live ta thấy kit đã kết nối với máy tính

- Kích chuột phải vào biểu tượng kit chuột phải chọn đúng loại kit

Hình 0.9. Chọn đúng kit thực hiện

- Kích chuột phải vào Nanoboard NB2 chọn configure FPGA projetct => chọn project đã có sau đó chọn OK

Hình 0.10. Thao tác chọn project khi nạp code - Chọn ok

- Tích check program FPGA. Ta dã thành công nạp code vào kit.

Hình 0.11. Hình ảnh nạp thành công chương trình - Kết quả mô phỏng

Hình 0.12. KIT khi chưa nạp code

Hình 0.13. KIT khi đã nạp code

Một phần của tài liệu ĐỒ án môn học THIẾT kế MẠCH TÍCH hợp số thiết kế máy phát nhạc MP3, WAV sử dụng KIT phát triển NB2DSK01 và phần mềm hỗ trợ altium designer (Trang 55 - 63)