den giao thong o nga tu dung ic 89c51

ghép nối với PC dùng LPT để điều khiển và giám sát đèn giao thông ở ngã tư cầu đất

ghép nối với PC dùng LPT để điều khiển và giám sát đèn giao thông ở ngã tư cầu đất

Ngày tải lên : 25/04/2014, 08:30
... T O IS O L A T O R 8255 IS O O P T O IS O L A T O R CHƯƠNG Xây dựng phần mềm visual basic 1.Thiết kế giao diện VISUAL BASIC Vang 5 B B B B B B B B P P P P P P P P N OT A0 A1 IS O O P T O IS O ... Add modul Public Declare Function Inportb Lib "dlportio.dll" Alias "Dlport Read Port Uchar" (ByVal Port As Long) As Byte Public Declare Sub Outportb Lib "dlportio.dll" Alias "Dlport Write Port ... Str(d(6)) End Sub Private Sub Command2_Click() End End Sub Private Sub Command3_Click() MsgBox "Dieu khien den giao thong o nga tu cau dat" End Sub Private Sub Form_Load() dl(1) = dl(2) = dl(3)...
  • 12
  • 778
  • 10
Đồ án đền giao thông tại ngã tư dùng PLC

Đồ án đền giao thông tại ngã tư dùng PLC

Ngày tải lên : 28/07/2014, 18:04
... biểu diễn cho phép tính đại số Boolean cho bit ngăn xếp, gọi lệnh stack logic Đó lệnh ALD (And Load), OLD (Or Load), LPS (Logic Push), LRD (Logic Read) LPP (Logic Pop) Lệnh stack logic dùng để ... (And) O (Or) • AND LOAD (ALD) OR LOAD (OR): Lệnh ALD OLD thực phép tính logic And Or hai bit ngăn xếp Kết logic ghi lại v o bit đầu ngăn xếp Nội dung lại ngăn xếp k o lên bit Tác động lệnh ALD OLD ... nhớ non-volatile -14 cổng v o 10 cổng logic -Cú modul để mở rộng thêm cổng v o/ ra bao gồm modul analog -Tổng số cổng v o/ ra cực đại 64 cổng v o 64 cổng Đồ ÁN ĐIỀU KHIỂN ĐÈN GIAO THÔNG NGA ...
  • 61
  • 3.7K
  • 10
Thiết kế Modul ghép nối với PC qua giao diện LPT để điều khiển và giám sát đèn tín hiệu giao thông ở ngã tư Lạch Tray - Cầu Đất - Lê Lợi - Tô Hiệu

Thiết kế Modul ghép nối với PC qua giao diện LPT để điều khiển và giám sát đèn tín hiệu giao thông ở ngã tư Lạch Tray - Cầu Đất - Lê Lợi - Tô Hiệu

Ngày tải lên : 26/04/2014, 15:18
... Outport b(&H378, 8) ' Chi tro toi cong A Outport b(&H37C, 0) 'Chot du lieu Outport b(&H37C, 1) sensor8 = inportb(&H378) '''''''cam bien so Outport b(&H37C, 2) Outport b(&H378, A) ' Chi tro toi ... Delay(0.1) Outport b(&H378, &H4) Outport b(&H37C, 2) Outport b(&H378, 8) Outport b(&H37C, 0) Outport b(&H37C, 1) sensor2 = inportb(&H378) 'Nhan tin hieu vao ''''''''sensor3 Outport b(&H378, &H13) Outport ... &H5) Outport b(&H378, &H7) Call Delay(0.1) Outport b(&H378, &H4) Outport b(&H37C, 2) Outport b(&H378, 8) Outport b(&H37C, 0) Outport b(&H37C, 1) sensor3 = inportb(&H378) '''''''sensor4 Outport...
  • 23
  • 946
  • 3
Xây dựng hệ thống điều khiển đèn giao thông tại ngã tư ứng dụng s7 300

Xây dựng hệ thống điều khiển đèn giao thông tại ngã tư ứng dụng s7 300

Ngày tải lên : 02/08/2014, 16:29
... vi cng vo /ra s ( Digital) Cỏc cng vo cú trờn modul CPU c gi l cng vo ONBOART Modul CPU bao gm cỏc loi sau : *CPU 312-IFM -6ES7-312-5AC00-OABO -6ES7-312-5AC01-OABO -6ES7-312-5AC02-OABO -6ES7-312-5AC81-OABO ... thng son tho chng trỡnh trờn OB1 Lp trỡnh trờn Simentic S7-300 2.1.Chn giao din cho PLC Mun chn giao din no, ta ỏnh du b giao din ú phớa trỏi ri n phớm Install B giao din ó c chn s c ghi vo ụ ... chn xong b giao din s dng, ta cũn phi ci t tham s lm vic cho b giao din bao gm tc truyn, cng ghộp ni mỏy tớnh 2.2 Khai b o v m mt ProJect mi T giao din ca PLC chn File -> New hoc kớch chut vo biu...
  • 92
  • 876
  • 5
Lập trình điều khiển tin hiệu đèn giao thông tại ngã tư sử dụng PLC S7300

Lập trình điều khiển tin hiệu đèn giao thông tại ngã tư sử dụng PLC S7300

Ngày tải lên : 06/10/2014, 10:46
... xanh oto vanh oto oto oto xanh oto vang oto Chú thích: theo nguyên tắc hoạt động hệ thống đèn khó xác định đợc giản đồ thời gian hệ thống Do vậy, phải tìm đèn hoạt động khoảng thời gian nhóm v o ... liệu v o Các hàm đổi dấu nh đổi dấu số thực 16 bít, 32 bít, hay số nguyên (R) FBD LAD STL Dạng liệu v o: EN IN OUT ENO NEG_I BOOL INT INT BOOL NEG_DI BOOL DI DI BOOL NEG_R BOOL REAL REAL BOOL Các ... MễN HC Khoa điện - điện tử - DI (Digital Input): module mở rộng cổng v o số 8, 16 32 tu thuộc v o loại module - DO (Digital Output): module mỏ rộng cổng số - DI/DO: module mỏ rộng cổng v o/ ra số...
  • 56
  • 2.3K
  • 7
Lập trình và thiết kế hệ thống đèn giao thông tại ngã tư ứng dụng PLC và wincc

Lập trình và thiết kế hệ thống đèn giao thông tại ngã tư ứng dụng PLC và wincc

Ngày tải lên : 11/11/2014, 14:23
... vo /ra s ( Digital) Cỏc cng vo cú trờn modul CPU c gi l cng vo ONBOART Modul CPU bao gm cỏc loi sau : *CPU 312-IFM -6ES7-312-5AC00-OABO -6ES7-312-5AC01-OABO 25 Nguyn Vit Hựng -6ES7-312-5AC02-OABO ... 16 hoc 32 tu thuc vo tng loi module - DO (Digital Output): module m rng cng s - DI/DO: module m rng cng vo/ra s - AI (Analog Input):cng vo tng t, chỳng l nhng b chuyn i tng t s 12 bits - AO (Analog ... c ni vi bng module IM FM (Function Module) :Module cú chc nng iu khin riờng: VD module ng c bc, module PID CP (Commuication Module):Module phc v truyn thụng mng gia cỏc PLC vi hoc gia PLC vi...
  • 124
  • 965
  • 4
Thiết kế hệ thống điều khiển đèn giao thông tại ngã tư và xây dựng mô hình thực

Thiết kế hệ thống điều khiển đèn giao thông tại ngã tư và xây dựng mô hình thực

Ngày tải lên : 09/05/2015, 21:03
... xoá nội dung đếm Khi CLR = logic, đếm bị xoá Vậy, để mạch đếm phảI đặt CLR = logic Load =1 logic 21 | P a g e Các đầu BO, CO có quan hệ logic với đầu v o/ ra khác sau: BO = DOWN QA.QB.Qc.QD CO ... logic NOT gọi cổng NOT b Cổng NOT + Định nghĩa: Là mạch có đầu v o mức logic đầu ngược với mức logic đầu v o + Giản đồ thời gian: + Ký hiệu logic: + Mạch điện: x x y y x y 9|Page Trong cổng NOT, ... DOWN QA.QB.Qc.QD CO = UP.QA.QD ( IC 74192) CO =UP.QA.QB.QC.QD ( IC 74193) Ta thấy đếm ngược CO logic (vì UP = 1logic), đầu BO nhảy từ giá trị logic xuống logic nội dung đếm giảm xuống số (Q A =...
  • 55
  • 1.2K
  • 1
Thiết kế và mô phỏng hệ thống điều khiển đèn giao thông cho ngã tư

Thiết kế và mô phỏng hệ thống điều khiển đèn giao thông cho ngã tư

Ngày tải lên : 13/10/2012, 10:21
... trực tiếp với máy tính mà vi xử lý giao tiếp giao tiếp song song nên cần có linh kiện chuyển đổi liệu từ song song sang nối tiếp để giao tiếp với máy tính - Do vi điều khiển có sử dụng timer, ... khiển /* -CHUONG TRINH DIEU KHIEN DEN GIAO THONG NHOM THUC HIEN : 1.PHAM QUANG LUAN 2.TRAN DANH LONG 3.NGUYEN TUAN NINH LOP : K43DDK HP:43S GVHD : NGUYEN VAN HUY NGAY THUC HIEN: 5/2011 ... Lay so hang chuc for(i=0;i
  • 42
  • 11.8K
  • 156
Chương trình điều khiển tín hiệu đèn giao thông tại ngã tư

Chương trình điều khiển tín hiệu đèn giao thông tại ngã tư

Ngày tải lên : 12/08/2013, 20:10
... Network Network Network Network5 Network END Giải thích Chương trình xẽ so sánh thời gian bô hẹn để thực điều khiển đèn ngã ...
  • 3
  • 1.3K
  • 27
Hệ thống điều khiển đèn giao thông tại ngã tư

Hệ thống điều khiển đèn giao thông tại ngã tư

Ngày tải lên : 24/09/2013, 12:20
... Word) IN1 K v o IN2 DTCII IN, OUT(5) DTR SV: EVENT L 09013933 V EVENT 32 IN 32 OUT Trang 18 ENCO ỉ IN IN, OUT OUT ặ (1) ENI L TABLE, DATA(5) FIFO FILL IN, OUT, N T IN N (Byte) OUT (W X FND< ... INDX(5) FN= ) SR (Word), FND ỉ ẫ ) P TRN Trang 19 T ằ INDEX, INITIAL, ò FINAL(1)(5) FOR ò FOR ò NEXT IN EX (Word) INITI L (Word) ú ò FINAL(Word) X IISC, MODE(1) IIDEF Bảng 3: MO E cao IISc (Byte) ... 200 U VỀ P Ầ CỨ é PLC (Programable Logic Control) S7 – 200 S Thành ú S7 – 200 PU212 PU214 Cấu trúc CPU212  512 (W ) (ghi) EEPROM V N  512 t – Volatile 100 (ghi) N – Volatile  é  Tổ ổ...
  • 32
  • 2.9K
  • 10
Tài liệu Thuyết minh đồ án tốt nghiệp : Thiết kế mô hình hệ thống điều khiển đèn giao thông tại ngã tư pptx

Tài liệu Thuyết minh đồ án tốt nghiệp : Thiết kế mô hình hệ thống điều khiển đèn giao thông tại ngã tư pptx

Ngày tải lên : 22/02/2014, 23:20
... vo tng loi module - DO (Digital Output): module m rng cng s - DI/DO: module m rng cng vo/ra s - AI (Analog Input):cng vo tng t, chỳng l nhng b chuyn i tng t s 12 bits - AO (Analog Output) Module ... thng son tho chng trỡnh trờn OB1 Lp trỡnh trờn Simentic S7-300 2.1.Chn giao din cho PLC Mun chn giao din no, ta ỏnh du b giao din ú phớa trỏi ri n phớm Install B giao din ó c chn s c ghi vo ụ ... khin logic lp trỡnh (Programmable Logic Control , vit tt l PLC ) l loi thit b cho phộp thc hin linh hot cỏc thut toỏn iu khin s thụng qua mt ngụn ng lp trỡnh Thay cho vic thc hin thut toỏn ú...
  • 86
  • 2.3K
  • 19
Đồ án: Điều khiển đèn giao thông tại ngã tư

Đồ án: Điều khiển đèn giao thông tại ngã tư

Ngày tải lên : 07/04/2014, 17:19
... 100 INIT: CLR EA MOV SP,#2FH MOV T2CON,#04H MOV RCAP2H,#0B1H MOV RCAP2L,#0E0H MOV NUM,#50 MOV TIC,#0 MOV SEC,#0 MOV MODE1F,#0 MOV MODE2F,#0 MOV RUNF,#1 MOV P3,#0 MOV R7,#0 SETB IE.5 SETB EA HERE: ... ;=============================== SLMODE: MOV P1,#00 SETB RUNF CLR NNF CLR STOP MOV SEC,#0 SJMP KTSLMOD KTNN: SETB NNF CLR RUNF CLR STOP MOV TIC,#0 SJMP KTSLMOD KTSTOP: JNB PSTOP,KTSLMOD CLR RUNF CLR NNF 26 SETB STOP MOV P3,#0 ... ;SUBROUTINE TSEC ;CHUONG TRINH TINH THOI GIAN ;INPUT: NONE ;OUTPUT: NONE ;=============================== TSEC: 25 JNB SJMP CHAY: JB INC MOV CJNE DEC MOV MOV CJNE MOV KHONG: MOV SJMP KTSEC1: MOV...
  • 31
  • 5.8K
  • 59
mạch đèn giao thông ở ngã 4

mạch đèn giao thông ở ngã 4

Ngày tải lên : 20/06/2014, 23:18
... on/off cho led o n, ngõ điều khiển ON/OFF cho led o n,(ON: led o n cấp nguồn để hiển thị, OFF: led o n bị ngắt nguồn nên không hiển thị được) 3.TRANSISTOR 3.1 Cấu t o Transistor Transistor ... tthai1: cjne mov clr clr cjne dec dec mov cjne mov mov jmp tthai2: cjne mov clr clr cjne dec dec mov cjne mov mov add mov mov jmp tthai3: cjne mov clr clr cjne dec dec mov cjne mov mov trangthai,#1,tthai2 ... ;=============================== chedouutienb: ;thoi gian ban dau mov 10h,#27 ;xanh1 mov 11h,#3 ; vang mov 12h,#35 ; xanh mov 13h,#3 ; vang mov b_giay,#0 mov b_mode,#0 mov trangthai,#1 mov tg1,10h mov a,10h add a,11h mov tg2,a...
  • 30
  • 1.5K
  • 1
ĐỒ án đề tài thiết kế mô hình điều khiển đèn giao thông cho ngã tư

ĐỒ án đề tài thiết kế mô hình điều khiển đèn giao thông cho ngã tư

Ngày tải lên : 09/08/2014, 06:48
... mắc song song 1.4.2 Cấu t o, chức chân IC 7404 a Cấu t o Hình 1.6 Hình dạng IC 7404 • Cấu t o bên trong: Cấu t o bên ic chứa cổng logic NOT hình đây: - Nguồn cung cấp cho ic với điện áp khoảng ... AT 89C51 a Sơ đồ khối 19 Hình 2.3 Biểu diễn sơ đồ khối chức vi điều khiển AT89C51 b Chức chân IC 89C51  Các cổng v o/ ra song song 8051 có cổng v o/ ra song song bit Port 0, Port 1, Port 2, Port ... button mực “top silk” tượng trưng cho phím tròn, muốn b o đảm tiếp xúc button bạn chọn v o “Track mode” toolbar & chọn “Bottom copper” sau nối hai đôi cặp chân lại Các bạn save linh kiện vừa tạo...
  • 65
  • 2.2K
  • 0

Xem thêm