Thiết kế bộ nghịch lưu ba pha ba bậc có nối lưới

80 38 0
Thiết kế bộ nghịch lưu ba pha ba bậc có nối lưới

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

ĐẠI HỌC QUỐC GIA TP HCM TRƯỜNG ĐẠI HỌC BÁCH KHOA TRẦN QUỐC VINH THIẾT KẾ BỘ NGHỊCH LƯU BA PHA BA BẬC CÓ NỐI LƯỚI Chuyên ngành: KỸ THUẬT ĐIỆN Mã số: 60.52.02.02 LUẬN VĂN THẠC SĨ TP HỒ CHÍ MINH, 2018 TRƯỜNG ĐẠI HỌC BÁCH KHOA –ĐHQG -HCM Cán hướng dẫn khoa học : (Ghi rõ họ, tên, học hàm, học vị chữ ký) Cán chấm nhận xét : (Ghi rõ họ, tên, học hàm, học vị chữ ký) Cán chấm nhận xét : (Ghi rõ họ, tên, học hàm, học vị chữ ký) Luận văn thạc sĩ bảo vệ Trường Đại học Bách Khoa, ĐHQG Tp HCM ngày 06 tháng 01 năm 2018 Thành phần Hội đồng đánh giá luận văn thạc sĩ gồm: (Ghi rõ họ, tên, học hàm, học vị Hội đồng chấm bảo vệ luận văn thạc sĩ) Xác nhận Chủ tịch Hội đồng đánh giá LV Trưởng Khoa quản lý chuyên ngành sau luận văn sửa chữa (nếu có) CHỦ TỊCH HỘI ĐỒNG TRƯỞNG KHOA ĐẠI HỌC QUỐC GIA TP.HCM TRƯỜNG ĐẠI HỌC BÁCH KHOA CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập – Tự – Hạnh phúc NHIỆM VỤ LUẬN VĂN THẠC SĨ Họ tên học viên: Trần Quốc Vinh MSHV: 1670356 Ngày, tháng, năm sinh: 23/07/1978 Nơi sinh: Nam Định Chuyên nghành: Kỹ thuật điện Mã số: 60.52.02.02 I TÊN ĐỀ TÀI: THIẾT KẾ BỘ NGHỊCH LƯU BA PHA BA BẬC CÓ NỐI LƯỚI II.NHIỆM VỤ VÀ NỘI DUNG: Nắm vững nâng cao kỹ lập trình C, lập trình vi điều khiển mà vi điều khiển DSP TMS320F28379D Tìm hiểu giải thuật Space Vector Pulse Width Modulation cho nghịch lưu ba pha ba bậc NPC Tìm hiểu vịng khóa pha PLL q trình hịa đồng Mô nghịch lưu ba pha ba bậc NPC có nối lưới dùng giải thuật Space Vector Pulse Width Modulation có điều khiển cơng suất tác dụng P công suất phản kháng Q phần mềm Matlab Thiết kế mơ hình thực nghiệm nghịch lưu ba pha ba bậc có nối lưới dùng vi xử lý TMS320F28379D Launch pad III Ngày giao nhiệm vụ: 10/07/2017 IV Ngày hoàn thành nhiệm vụ: 03/12/2017 V Cán hướng dẫn: TS Nguyễn Đình Tuyên Tp HCM ngày.…., tháng … , năm 20.… CÁN BỘ HƯỚNG DẪN (Họ tên chữ ký) CHỦ NHIỆM BỘ MÔN ĐÀO TẠO (Họ tên chữ ký) TRƯỞNG KHOA (Họ tên chữ ký) LỜI CẢM ƠN Trước tiên, em xin gửi lời cảm ơn chân thành đến quý Thầy, Cô Trường Đại Học Bách Khoa Tp Hồ Chí Minh truyền đạt cho em kiến thức kinh nghiệm quý báu suốt thời gian em theo học trường Em xin trân trọng gửi lời cảm ơn đến tất quý Thầy, Cô khoa Điện – Điện Tử, Bộ Môn Cung Cấp Điện, Bộ môn hệ thống điện, Bộ môn thiết bị điện, đặc biệt thầy Nguyễn Đı̀nh Tuyên tận tình hướng dẫn bảo em q trình làm luận văn tốt nghiệp Ngồi em xin gửi lời cảm ơn đến tất người bạn gắn bó giúp đỡ em năm học qua, suốt trình thực luận văn tốt nghiệp Sau cùng, em xin cảm ơn gia đình ln động viên, giúp đỡ, tạo điều kiện mặt kinh tế thời gian để thân em vượt qua khó khăn suốt q trình học tập thực luận văn tốt nghiệp TP Hồ Chí Minh, Ngày 04 tháng 12 năm 2017 Trần Quốc Vinh TÓM TẮT LUẬN VĂN Luận văn nghiên cứu nghịch lưu ba pha ba bậc NPC, giải thuật điều chế độ rộng xung SVPWM (Space Vector Pulse Width Modulation), phương pháp hòa đồng cho nghịch lưu với lưới, để điều khiển cơng suất tác dụng P công suất phản kháng Q nghịch lưu ba pha ba bậc có hịa lưới Kết kiểm chứng thông qua mô thực nghiệm Luận văn gồm 06 chương sau: - Chương 1: Giới thiệu tổng quan đề tài Chương 2: Trình bày cấu hình kỹ thuật điều chế vector không gian cho nghịch lưu NPC Chương 3: Giới thiệu phương pháp hịa đồng Chương 4: Mơ hình hóa mơ nghịch lưu ba pha ba bậc có nối lưới phần mềm Matlab Simulink Chương 5: Thiết kế mơ hình thực nghiệm nghịch lưu ba pha ba bậc có nối lưới sử dụng vi điều khiển TMS320F28379D Launch pad Chương 6: Kết thực nghiệm ABSTRACT In this paper, a grid tied three phase three level inverter has been designed and developed F28379D controller has been used and space vector pulse width modulation method is proposed to control the firing of switches In order to synchronize the output voltage of the inverter with the Grid voltage, sinusoidal reference voltages for the microcontroller has been taken from the three phase grid lines The controller generates PWM signals which are modulated by the grid voltages The output of the switching circuit is applied to the primary of a KVA step-up transformer Finally, the output of the step-up transformer is filtered by inductors and capacitors There are six chapters in thesis, which are as below - Chapter 1: Introduction and overview of thesis Chapter 2: The structure and Space Vector Pulse Width Modulation method of the three phase three level NPC inverter Chapter 3: Synchronization of a three phase inverter to electrical grid Chapter 4: Modeling and simulating of a three phase three level inverter in Matlab Simulink Chapter 5: Designing an experimental model of the grid tied three phase three level inveter to estimate and verify the theory and simulation Chapter 6: The experimental results and discussion LỜI CAM ĐOAN Tôi xin cam kết nội dung lý thuyết trình bày luận văn tham khảo tài liệu biên soạn lại, tất kết mơ phỏng, thực nghiệm thân tơi tự làm ra, hồn tồn khơng phải chép từ tài liệu cơng trình nghiên cứu khác Các tài liệu tham khảo thực trích dẫn ghi nguồn tài liệu tham khảo theo yêu cầu Trần Quốc Vinh NỘI DUNG CHƯƠNG I GIỚI THIỆU CHUNG 1.1 Lý chọn đề tài 1.2 Mục tiêu đề tài 1.3 Phạm vi phương pháp nghiên cứu 1.4 Kết đạt hướng phát triển đề tài CHƯƠNG II TỔNG QUAN VỀ BỘ NGHỊCH BA PHA VÀ PHƯƠNG PHÁP ĐIỀU CHẾ ĐỘ RỘNG XUNG 2.1 Bộ nghịch lưu ba pha NPC 2.2 Phương pháp điều chế vector không gian (SVPWM) 2.2.1 Kỹ thuật điều chế vector không gian (SVPWM) 2.2.2 Phương pháp điều chế vector khơng gian có giảm triệt tiêu điện áp common-mode 12 2.3 Phương pháp điều khiển dự báo dòng điện 12 CHƯƠNG III GIỚI THIỆU PHƯƠNG PHÁP HÒA ĐỒNG BỘ 15 3.1 Phương pháp phát điểm không(ZCD) 15 3.2 Phương pháp vịng khóa pha 16 3.2.1 Tổng quan Phase locked loop 16 3.2.2 Điều chỉnh PLL 16 3.3 Giải thuật điều khiển nghịch lưu ba pha nối lưới 20 CHƯƠNG IV MÔ PHỎNG BỘ NGHỊCH LƯU BA BẬC NPC CÓ NỐI LƯỚI 22 4.1 Tổng quan chương trình mơ 22 4.2 Khối vịng khóa pha 23 4.3 Khối chuyển đổi hệ trục tọa độ 25 4.4 Khối tính tốn cơng suất tác dụng cơng suất phản kháng 28 4.5 Khối điều khiển dòng điện 29 4.6 Khối giải thuật vector không gian 30 4.7 Khối đầu nghịch lưu lưới 31 4.8 Đáp ứng điều khiển PQ hòa lưới 33 4.9 Sơ đồ giải thuật tổng quát 37 5.1 Mơ hình thực nghiệm tổng qt 38 5.2 Giới thiệu vi điều khiển hãng Texas Instrument TMS320F28379D 39 5.3 Giới thiệu Board điều khiển TMS320F28379D Launchpad 42 5.4 Mạch lái cho khóa cơng suất IGBT 43 5.5 Thông số IGBT 47 5.6 Thông số Diode kẹp 48 5.7 Mạch cảm biến điện áp 49 5.8 Mạch cảm biến dòng điện 50 5.9 Mạch giao tiếp với cảm biến dòng áp 51 5.10 Máy Biến áp 54 5.11 Mạch tạo nguồn DC cấp cho nghịch lưu 54 5.12 Mạch lọc LC 55 CHƯƠNG VI KẾT QUẢ THỰC NGHIỆM 56 6.1 Tổng quan chế độ thực nghiệm 56 6.2 Chạy Inverter điều khiển độc lập cấp nguồn cho tải ba pha 56 6.3 Chế độ đo điện áp từ lưới phát lại 60 6.4 Hòa lưới điều khiển công suất tác dụng công suất phản kháng 63 DANH MỤC HÌNH ẢNH Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Hình Sơ đồ máy phát điện gió tốc độ thay đổi có nối lưới Sơ đồ dây UPS hãng GUTOR/ Schneider Electric Sơ đồ máy phát điện gió DFIG có nối lưới Sơ đồ hệ thống điện mặt trời có nối lưới Sơ đồ hệ thống điện mặt trời có nghịch lưu trung tâm có nối lưới Bộ nghịch lưu ba pha ba bậc NPC Cấu trúc nhánh pha A nghịch lưu NPC Hình vẽ trạng thái kích cho pha AZ Sơ đồ vector không gian nghịch lưu ba bậc NPC 10 Sơ đồ vector không gian nghịch lưu ba bậc NPC 11 Vector điện áp tham chiếu hệ trục tọa độ abc αβ 10 12 Vector điện áp thời gian tồn sector I 11 13 Phương pháp điều khiển dự báo dòng điện cho nghịch lưu ba bậc NPC 13 14 Sơ đồ mạch dạng sóng điện áp ZCD 15 15 Sơ đồ khối PLL 16 16 Khối điều chỉnh PLL tổng quát 16 17 Khối điều chỉnh vịng khóa pha PLL chế độ tín hiệu bé 17 18 Sơ đồ khối PLL với phát pha lý tưởng 17 19 Bộ phát pha sử dụng tạo tín hiệu pha vng góc biến đổi Park 18 20 Phương pháp chuyển đổi trễ T/4 19 21 Phương pháp chuyển đổi nghịch đảo 19 22 Phương pháp SRF PLL truyền thống 20 23 Mô SRF PLL 20 24 Công suất phản kháng công suất tác dụng nghịch lưu 21 25 Tổng quan mô hình mơ nghịch lưu 22 26 Mơ hình khóa diode nghịch lưu ba pha ba bậc NPC 23 27 Cấu trúc vịng khóa pha PLL 23 28 Sơ đồ khối chuẩn Matlab vịng khóa pha cho hịa đồng 24 29 Sơ đồ vịng khóa pha dạng SRF -PLL cho hòa đồng 24 30 Khối hịa đồng góc quay vịng khóa pha SRF-PLL 25 31 Kết mơ tách góc quay theta từ điện áp lưới 25 32 Khối biến đổi hệ trục abc sang dq0 cho điện áp dòng điện 26 33 Dòng điện Id_f Iq_f sau phép biến đổi dang hệ trục tọa độ dq0 26 34 Điện áp nghịch lưu sau phép biến đổi dq0 27 35 Khối biến đổi dq0 sang alpha beta 27 36 Khối tính tốn chuyển đổi cơng suất tác dụng phản kháng sang dịng điện 28 37 Khối đo công suất tác dụng phản kháng phát lên lưới 28 38 Khối điều khiển PI dòng điện 29 39 Điện áp theo hệ trục dq0 sau điều khiển dòng điện 29 40 Hình vẽ điện áp Valpha Vbeta sau chuyển đổi hệ trục αβ 30 41 Khối biến đổi hệ trục tọa độ khối điều khiển xuất xung SVPWM 30 42 So sánh tín hiệu điều khiển xung tam giác 31 43 Các khối động lực bao gồm inverter, mạch lọc cảm kháng nối lưới 31 44 Điện áp dây đầu nghịch lưu VAB 32 45 Điện áp pha C trung tính tụ điện VCN 32 46 Kết dòng điện ba pha truyền lên lưới theo giá trị đặt công suất P&Q 33 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Với hệ số khuếch đại: 𝐾 = − Mạch khuếch đại đảo Hình 71 Mạch khuếch đại đảo Vout = -Rf/Rin*Vin (35) Mạch lọc thông thấp Hình 72 Mạch lọc thơng thấp 𝑓 = = 28.6 𝑘𝐻𝑧 (36) Mạch cộng với R1= R2 =R3=R4 =R 52 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Hình 73 Mạch cộng 𝑉𝑜 = × × (𝑉1 + 𝑉2) = 𝑉1 + 𝑉2 (37) Hình 74 Board giao tiếp cảm biến điện áp dòng điện với Vi xử lý F28379D 53 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH 5.10 Máy Biến áp Theo thiết kế ta phải chọn máy biến áp cách ly KVA Trong điều kiện kinh phí hạn chế ta sử dụng máy biến áp ba pha KVA 200Vac/400Vac dùng để tăng áp từ điện áp đầu nghịch lưu 200 Vac lên điện áp ba pha lưới 400 Vac Hình 75 Máy biến áp ba pha 200 Vac/400Vac 5.11 Mạch tạo nguồn DC cấp cho nghịch lưu Để tạo nguồn Vdc/2 ta dùng chỉnh lưu cầu lấy nguồn từ biến áp tự ngẫu, sau qua chỉnh lưu cầu đưa vào hai tụ tạo điện áp Vdc/2 cho mạch nghịch lưu Hình 76 Mạch cấp nguồn Vdc/2 cho nghịch lưu 54 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH 5.12 Mạch lọc LC Sử dụng mạch lọc LC để lọc đầu trước hịa lưới, mơ hình thực tế khác với mơ máy biến áp tăng áp mạch lọc LC Hình 77 Mạch lọc thông thấp LC 𝐿= ∗ 𝐶= Với ∆ ∗ % ∗ ∗ (38) (39) giá trị dòng điện ripple, thường chọn 10% dòng điện định mức fs tần số chuyển mạch Prated,Vrated, f công suất, điện áp tần số danh định hệ thống Tần số cắt: 𝑓 = (40) 55 LUẬN VĂN THẠC SĨ CHƯƠNG VI 6.1 CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH KẾT QUẢ THỰC NGHIỆM Tổng quan chế độ thực nghiệm Các chế độ chạy thử nghiệm thực tế triển khai, dùng thiết bị đo chương trình code composer studio để giám sát tín hiệu dịng điện, điện áp biến chương trình - Chạy inverter độc lập vòng hở cấp nguồn cho tải ba pha với theo giải thuật SinPWM SVPWM Chạy inverter đo điện áp ba pha từ lưới sau phát điện áp ngược lên giống điện áp lưới Chạy inverter có giải thuật đầy đủ có PLL điều khiển cơng suất tác dụng P cơng suất phản kháng Q Hình 78 Mơ hình thực nghiệm tổng qt 6.2 Chạy Inverter điều khiển độc lập cấp nguồn cho tải ba pha Giải thuật SinPWM so sánh sóng mang với hai sóng tam giác viết dùng để kiểm tra toàn chức nghịch lưu trước áp dụng giải thuật SVPWM Khi kiểm tra xong toàn phần cứng, tiến hành viết giải thuật SVPWM cho nghịch lưu Kết đo phần 6.2 lấy từ thực nghiệm chạy nghịch lưu với giải thuật SVPWM Chế độ đặt thông số phần cứng phần mềm - Điện áp cấp vào UDC =340 Vdc Điện áp hai tụ Vc1 =177Vdc Điện áp hai tụ Vc2 =165Vdc Giá trị điện áp pha đặt 156 Vpeak 56 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Điện áp pha đầu theo thiết kế inverter hồn tồn nâng lên cao nữa, giới hạn thiết bị máy biến áp tự ngẫu có nâng điện áp lên 250Vac để cấp nguồn cho chỉnh lưu cầu tạo nguồn DC đầu vào cho inverter, nên chọn với số điều chế thích hợp với thơng số phía Hình 79 Điện áp pha b trung tính tụ VBN Giá trị điện áp pha đo pha b điểm trung tính tụ VBN theo hình 79 có dạng giống với kết mơ Matlab Simulink hình 45 Hình 80 Điện áp dây VAB Inverter Giá trị điện áp dây đo hai pha VAB theo hình 80 có dạng giống với kết mơ Matlab Simulink hình 44 57 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Hình 81 Điện áp dây VAB sau cuộn L=0.5mH Điện áp dây VAB đầu inverter hình 81 điện áp pha VBN hình 82 sau qua mạch lọc L trước máy biến áp tăng áp có thành phần sóng hài nhỏ hình 81 Hình 82 Điện áp sơ cấp pha B trung tính máy biến áp VBN Dạng sóng điện áp dây nghịch lưu sau qua máy biến áp tăng áp lọc bớt thành phần hài bậc cao, sau qua mạch lọc LC để lọc thêm thành phần hài bậc thấp cho đầu có dạng sóng sin có chất lượng sóng hài giống điện áp lưới Giá trị THD lưới inverter đo 1.46% 58 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Hình 83 Điện áp dây VAB phía thứ cấp sau máy biến áp 200Vac/400Vac Hình 84 Điện áp dây thứ cấp máy biến áp VAB (màu vàng) VBC (màu xanh) Hình 85 Điện áp dây phía thứ cấp máy biến áp VAB (màu vàng) & VCA (màu xanh) sau lọc LC 59 LUẬN VĂN THẠC SĨ 6.3 CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Chế độ đo điện áp từ lưới phát lại Thực nghiệm chế độ điện áp dây Vab Vbc lưới, sau tính điện áp pha Va, Vb, Vc chưa dùng sử dụng giải thuật vịng khóa pha SRF-PLL cho inverter phát lại điện áp lưới Mục đích việc chạy chế độ nhằm thực tinh chỉnh thơng số chương trình cho cảm biến điện áp, đồng thời kiểm tra toàn phần cứng kể cảm biến Kết đo chế độ cho kết gần giống với chế độ chạy inverter độc lập Hình 86 Điện áp pha pha A trung tính tụ VAN Hình 87 Điện áp dây VAB trước lọc 60 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Hình 88 Điện áp dây Vab& Vbc phía inverter sau lọc Hình 89 Điện áp dây Vab& Vbc Inverter sau lọc Hình 90 Điện áp dây Vbc& Vca Inverter sau lọc 61 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Kết hình 91, 92 93 cho thấy điện áp dây đầu phía inverter gần đồng với điện áp lưới Hình 91 Điện áp lưới Vab grid so với điện áp pha Vab inverter Hình 92 Điện áp lưới Vbc grid so với Vbc inverter Hình 93 Điện áp lưới Vca grid so với điện áp Vca inverter 62 LUẬN VĂN THẠC SĨ 6.4 CBHD: TS NGUYỄN ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH Hịa lưới điều khiển cơng suất tác dụng công suất phản kháng Khi chạy chế độ tồn chương trình đầy đủ theo u cầu luận văn tốt nghiệp thạc sĩ viết hồn chỉnh dựa vào mơ Matlab Simulink, chương trình viết riêng code composer studio hồn tồn độc lập với chương trình Matlab simulink bao gồm chức sau: - Cấu hình định thời gian xuất xung ePWM có deadband tránh việc trùng dẫn khóa cơng suất Cấu hình đọc cảm biến điện áp, dòng điện lọc số LPF Giải thuật cho vịng khóa pha SRF- PLL để lấy góc quay theta cho phép biến đổi hệ trục tọa độ Giải thuật cho điều khiển PI cho dịng điện Giải thuật điều chế vector khơng gian cho nghịch lưu ba pha ba bậc NPC Kết đo dạng điện áp pha điện áp lưới gần đồng với giống chạy chế độ mục 6.3 Hình 94 Điện áp dây Vab inverter (màu vàng) Vab lưới (màu xanh) Hình 95 Điện áp pha Vbc inverter (màu vàng) Vbc lưới (màu xanh) 63 LUẬN VĂN THẠC SĨ CBHD: TS NGUYỄN ĐÌNH TUN HVTH: TRẦN QUỐC VINH Hình 96 Điện áp dây VCA inverter (màu vàng) Vca lưới (màu xanh) Nhận xét chất lượng chương trình Dựa vào kết đo đầu kết theo dõi biến số điện áp dòng điện qua phép biến đổi chương trình Code composer studio khẳng định giải thuật tốt đáp ứng yêu cầu việc hòa lưới Theo dõi biến điện áp Vd_f, Vq_f đọc ta thấy có thay đổi dao động khoảng định không ổn định việc mô điện áp lưới có thay đổi theo hình 97 Dựa vào dạng sóng đo đo đầu chứng tỏ SRF- PLL hoạt động tốt điều kiện bình thường lưới điện Hình 97 thơng số đọc từ kế nối với Ti C 2000 64 TÀI LIỆU THAM KHẢO [1] Nguyễn Văn Nhờ, Điện tử công suất 1, NXB Đại học Quốc gia Tp Hồ Chí Minh, 2008 [2] Lê Minh Phương, Phan Quốc Dũng, Mô Điện tử công suất MATLAB/SIMULINK, NXB Đại học Quốc gia Tp Hồ Chí Minh, 2011 [3] Sriharirao Namballa, T Vamsee Kiran, A Space Vector PWM Scheme for Neutral Point Clamped Multilevel Inverters, International Journal of Power System Operation and Management ISSN: 2231 – 4407, Volume-1, Issue-4, 2012 [4] Bin-Wu, “High-Power Converters and AC Drives”, Chapter 8, IEEE Press 2006 [5] Rene Vargas, Patricio Cortes, Ulrich Ammann, Jose Rodriguez, Jorge Pontt, “Predictive Control of a Three-Phase Neutral-Point-Clamped Inverter”, IEEE Transactions on Industrial Electronics, Vol 54, No 5, Octorber 2007 [6] Mario Schweizer, Jonann W Kolar, Design and implementation of a Highly Efficent Three- Level T- Type converter for low voltage applications, IEE TRANSACTIONS ON POWER ELECTRONICS, VOL.28, NO.2, FEBRUARY 2013 [7] Omar Abdel-Rahim, Masato Takeuchi, T-Type Three level Neutral Point Clamped Inverter with Model Predictve Control for Grid Connected Photovoltaic Applications, Electrical Machines and Systems (ICEMS), 2016 19th International Conference [7] P Upendra Kumar, Prashant Kumar Das, K Durga Malleswara Rao, B Venkata Ramana, Modelling And Analysis of Multilevel Inverters Using Space Vector Pulse Width Modulation (SVPWM),International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622, Vol 2, Issue 2, Mar-Apr 2012, pp.536-542 [8] Haibing Hu, Wenxi Yao, and Zhengyu Lu, Design and Implementation of Three- Level Space Vector PWM IP Core for FPGAs, IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL 22, NO 6, NOVEMBER 2007 [9] Remus Teodorescu, Marco Liserre, Pedro Rodr´ıguez, Grid converters for Photovoltaic and wind power systems, IEEE, A John Wiley and Sons, 2011 [10] Các tài liệu tham khảo phần cứng phần mềm cho vi xử lý TMS320F28379D hãng Texas Instrument [11] S Sumthi, L Ashok Kumar, P Surekha, Solar PV and Wind Energy Conversion Systems, Springer, 2015 LÝ LỊCH TRÍCH NGANG Họ tên: Trần Quốc Vinh Ngày, tháng, năm sinh: 23/07/1978 Nơi sinh: Nam Định Địa liên lạc: M3.11, Chung Cư H3, 384 đường Hoàng Diệu, Quận 4, Tp HCM Email: tqvinh.ei@gmail.com Phone: +84918224278 QUÁ TRÌNH ĐÀO TẠO Năm 1997 – 2002: học đại học trường Đại Học Bách Khoa TP.HCM chuyên ngành Điện Tử - Viễn Thông Năm 2004 – 2007: học đại học trường Đại Học Bách Khoa TP.HCM chuyên ngành công nghệ thông tin Năm 2016– 2017: học cao học trường Đại Học Bách Khoa TP.HCM chuyên ngành kỹ thuật điện QUÁ TRÌNH CƠNG TÁC Từ tháng 04/2003 đến 12/2007: Kỹ sư đo lường tự động hóa, Xí nghiệp Khai thác, Liên doanh dầu khí Vietsovpetro Từ tháng 01/2008 đến 05/2012 : Phó giám sát điện- tự động hóa, Cơng ty liên doanh điều hành Cửu Long JOC Từ tháng 06/2012 đến nay: Kỹ sư điện – tự động hóa, Tổng cơng ty thăm dị khai thác dầu khí (PVEP) ... Modulation cho nghịch lưu ba pha ba bậc NPC Tìm hiểu vịng khóa pha PLL q trình hịa đồng Mơ nghịch lưu ba pha ba bậc NPC có nối lưới dùng giải thuật Space Vector Pulse Width Modulation có điều khiển... điện mặt trời có nghịch lưu trung tâm có nối lưới Bộ nghịch lưu ba pha ba bậc NPC Cấu trúc nhánh pha A nghịch lưu NPC Hình vẽ trạng thái kích cho pha AZ ... ĐÌNH TUYÊN HVTH: TRẦN QUỐC VINH CHƯƠNG IV MÔ PHỎNG BỘ NGHỊCH LƯU BA BẬC NPC CÓ NỐI LƯỚI Chương thực việc mô nghịch lưu ba pha ba bậc NPC có nối lưới theo phương pháp điều chế SVPWM, phương pháp

Ngày đăng: 28/04/2021, 10:28

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan